AIDA GELINA BRIKEN nToF CRIB ISOLDE CIRCE nTOFCapture DESPEC DTAS EDI_PSA 179Ta CARME StellarModelling DCF K40
  AIDA  ELOG logo
Message ID: 36     Entry time: Tue Feb 17 06:32:24 2015
Author: CG, AE, TD 
Subject: Tues 17th Feb progress 

17/02/15

1515: Installed detector BB18 2977-7 with 3 modified kapton cables, one unmodified (in nnaida1) with 207Bi source.

           Bias voltage @ -100V with leakage current -11.6uA @ ambient temp of ~20oC.

           nnaida1,3,6,8 connected to detector, all with shaping time of 2us and hold time 8us.

           Slow comparator thresholds around 0x18 and fast comparators 0xf (see below images).

           Pulser at 50,000 with x5 attenuator @ 25Hz. Both polarities supplied via use of Ortec 433A dual sum and invert amp.

           nnaida1+6 p+n side, -ve bias. nnaida3+8 n+n side, ground.

          

           nnaida3 most well behaved, with low rate similar to that of pulser rate and no missing channels. Waveforms look good.

           Pulser peak has smallest FWHM @ ~150ch, compared to 1000s of ch for the other nnaida.

           For comparison, nnaida7 (not connected to detector) has peak width of ~18ch FWHM.

           nnaida1,6,8 have typical pulser peak widths of the order 1000-3000ch FWHM. Peak too broad in nnaida6 to be distinguishable from noise peak.

 

           Waveforms from nnaida3 stable and as expected. nnaida1+6 slightly more variable and noisy.

           Waveforms from nnaida8 see much greater noise and variability, including rail-to-rail transitions.

           Increasing the pre-amp reference from 0x30 to 0x60, removes rail-to-rail transitions, but waveforms remain just as noisy.

 

1650: Successfully started Merge and Tape servers, writing data to disk.

            Merge terminal window shows errors regarding Sync pulse timestamp sequence errors (see attachment #18). 

 

1900: Installed Caen N1419 floating HV bias supply.

            Connected to detector via nnaida3, positively biasing n-side through core with p-side as low V reference through braid. Saw no leakage current => open circuit.

            After some problem solving found reversing the bias and negatively biasing p-side worked. Possible broken bond wires delivering bias on n-side.

            Connected to nnaida8 (also positive bias to n-side) and found short circuit. Saw leakage current of 200uA (trip level) @ 5V.

            Changed to negative polarity bias and connected to nnaida6 and saw sensible leakage current of -11.5uA @ -100V bias.

            Spectra for each module showed FWHM for the pulser peaks to be: nnaida1 ~800ch, nnaida3 ~150ch, nnaida6 ~3000ch, nnaida8 ~1200ch.

            FWHM in nnaida1 reduced by ~1/3, nnaida6 shows little change, nnaida8 reduced by ~1/2. nnaida3 shows no change, but in line with what was seen at DL. (w.r.t peak widths seen last night using Selena bias supply)

            nnaida3 performing much better than other three cards connected to detector, but in line with what was seen at DL. Other 3 cards very noisy.

 

Attachment 1: 10.png  106 kB  | Hide | Hide all
10.png
Attachment 2: 15.png  105 kB  | Hide | Hide all
15.png
Attachment 3: 19.png  103 kB  | Hide | Hide all
19.png
Attachment 4: 21.png  101 kB  | Hide | Hide all
21.png
Attachment 5: 11.png  258 kB  | Hide | Hide all
11.png
Attachment 6: 12.png  247 kB  | Hide | Hide all
12.png
Attachment 7: 13.png  243 kB  | Hide | Hide all
13.png
Attachment 8: 14.png  249 kB  | Hide | Hide all
14.png
Attachment 9: 16.png  248 kB  | Hide | Hide all
16.png
Attachment 10: 17.png  115 kB  | Hide | Hide all
17.png
Attachment 11: 18.png  275 kB  | Hide | Hide all
18.png
Attachment 12: 25w.png  111 kB  | Hide | Hide all
25w.png
Attachment 13: 26w.png  113 kB  | Hide | Hide all
26w.png
Attachment 14: 27w.png  119 kB  | Hide | Hide all
27w.png
Attachment 15: 28w.png  110 kB  | Hide | Hide all
28w.png
Attachment 16: 30.png  98 kB  | Hide | Hide all
30.png
Attachment 17: 31.png  248 kB  | Hide | Hide all
31.png
Attachment 18: merge.png  72 kB  Uploaded Tue Feb 17 07:57:28 2015  | Hide | Hide all
merge.png
Attachment 19: merge_tape_etc.png  274 kB  Uploaded Tue Feb 17 07:58:32 2015  | Hide | Hide all
merge_tape_etc.png
Attachment 20: 3_floatingHV.png  246 kB  Uploaded Tue Feb 17 09:58:12 2015  | Hide | Hide all
3_floatingHV.png
Attachment 21: 6_floatingHV.png  264 kB  Uploaded Tue Feb 17 09:58:28 2015  | Hide | Hide all
6_floatingHV.png
Attachment 22: 8_floatingHV.png  260 kB  Uploaded Tue Feb 17 09:58:46 2015  | Hide | Hide all
8_floatingHV.png
ELOG V3.1.4-unknown