AIDA GELINA BRIKEN nToF CRIB ISOLDE CIRCE nTOFCapture DESPEC DTAS EDI_PSA 179Ta CARME StellarModelling DCF K40
  AIDA  ELOG logo
Message ID: 665     Entry time: Sat Jun 17 05:47:58 2017
Author: TD 
Subject: Saturday 17 June 
13.47 DAQ continues
      AIDA file RIBF148/R10_39

      BNC PB-4
      Amplitude 90,000
      x5 attenuator IN
      t_r 100ns tau_d 50us
      - polarity
      frequency 25Hz range 2.6Hz
      delay MIN, tail pulse, INT ref

      ASIC settings 2017Mar27-16.12.39
      *except* 
      slow comparator 0x64
      LEC/MEC fast comparator 0x14

13.49 Detector biases & leakage currents OK - see attachments 1 & 2
      FEE64 Temperatures OK - see attachment 3
      good events statistics stable & OK - see attachment 4 
      No warnings/errors reported by merger since start of RIBF148/R10  - see attachment 5
      No changes to PLL and DCM clock Lock monitor - see attachment 6
      *all* system wide checks OK (zero fails)

14.00 analysis file RIBF148/R8_39 - zero deadtime, zero MBS and zero ts timewarps - see attachment 7

14.03 online analysis 
      ADC & disc data synchronised - see attachment 8
      per DSSSD m_p versus m_n (LEC) - see attachments 9
      per DSSSD x versus y (LEC) - see attachment 10
      per DSSSD E_p versus E_n (LEC) - 512x512 spectra - nominal 20keV per channel - see attachment 11
      per DSSSD side ADC ts - event ts - see attachment 12

14.17 Layout per FEE64 Rate, HitRate and 1.8.* spectra - see attachments 13-18
      nnaida11 & nnaida13 1.*.W spectra - see attachments 19-20

      3kHz modulated HF noise positive input FEE64s
      BRIKEN and Clovers on

14.32 Ambient temperature +24.3 deg C, d.p. +8.7 deg C, RH 37.5%
      Julabo FL11006 set point +20.0 deg C actual +20.0 deg C - water level c. 75%

14.34 DAQ stop
      AIDA file RIBF148/R10_40

14.45 nnaida13 1*W spectra
      Ibias LF feedback  attachment
      0x8 (default)      21
      0x0                22 & 23
      0xf                24

      0x0 preamp o/p -> V rail
      0x8 - 0xf no obvious difference

14.52 slow comparator 0x64 -> 0xa
      Ibias LF feedback  attachment
      0x8 (default)      25 27
      0xf                26 28

      0xf appears to reduce (but not eliminate) frequency and amplitude of saw tooth transients 
      no obvious effect on good event rate

      for nnaida13 combination of Ibias LF feedback 0x8 -> 0xf and slow comparator 0xa -> 0xf 
      largely eliminates saw tooth except for noisiest strips e.g. 1.1.W 'edge' strip
      good events 280k -> 61k 

17.12 DAQ start
      AIDA file RIBF148/R11

      BNC PB-4
      Amplitude 90,000
      x5 attenuator IN
      t_r 100ns tau_d 50us
      - polarity
      frequency 25Hz range 2.6Hz
      delay MIN, tail pulse, INT ref

      ASIC settings 2017Mar27-16.12.39
      *except* 
      slow comparator 0xf
      LEC/MEC fast comparator 0x14
      Ibias LF feedback 0x8 -> 0xf

      Layout Rate, HitRate and 1.8.* spectra -see attachments 29-35
      Merged data rate c. 500k data items/s, 4Mb/s

17.50 DAQ stop
      AIDA file RIBF148/R11_4

17.54 DAQ start
      AIDA file RIBF148/R12

      BNC PB-4
      Amplitude 90,000
      x5 attenuator IN
      t_r 100ns tau_d 50us
      - polarity
      frequency 25Hz range 2.6Hz
      delay MIN, tail pulse, INT ref

      ASIC settings 2017Mar27-16.12.39
      *except* 
      slow comparator 0xf -> 0xa
      LEC/MEC fast comparator 0x14
      Ibias LF feedback 0xf

      good events statistics - see attachment 36

18.14 DAQ stop
      AIDA file RIBF148/R12_6

      DSSSD bias cycled 100->0->100V

18.21 DAQ start
      AIDA file RIBF148/R13

      BNC PB-4
      Amplitude 90,000
      x5 attenuator IN
      t_r 100ns tau_d 50us
      - polarity
      frequency 25Hz range 2.6Hz
      delay MIN, tail pulse, INT ref

      ASIC settings 2017Mar27-16.12.39
      *except* 
      slow comparator 0xa
      LEC/MEC fast comparator 0x14
      Ibias LF feedback 0xf -> 0x8

      good events statistics - see attachment 37

18.40 DAQ stop
      AIDA file RIBF148/R13_5

18.42 Detector biases & leakage currents OK - see attachments 38 & 39

18.59 analysis file RIBF148/R13_5 -c. 1% deadtime, zero MBS and zero ts timewarps - see attachment 40
Attachment 1: 1.png  12 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 2: 2.png  12 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 3: 3.png  139 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 4: 4.png  91 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 5: 5.png  292 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 6: 6.png  111 kB  Uploaded Sat Jun 17 06:50:29 2017  | Show | Show all
Attachment 7: R10_39.txt  10 kB  Uploaded Sat Jun 17 07:00:25 2017  | Show | Show all
Attachment 8: 8.png  75 kB  Uploaded Sat Jun 17 07:07:51 2017  | Show | Show all
Attachment 9: 9.png  47 kB  Uploaded Sat Jun 17 07:07:51 2017  | Show | Show all
Attachment 10: 10.png  52 kB  Uploaded Sat Jun 17 07:07:51 2017  | Show | Show all
Attachment 11: 12.png  48 kB  Uploaded Sat Jun 17 07:07:51 2017  | Show | Show all
Attachment 12: 13.png  45 kB  Uploaded Sat Jun 17 07:07:51 2017  | Show | Show all
Attachment 13: 14.png  130 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 14: 15.png  131 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 15: 16.png  127 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 16: 17.png  119 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 17: 18.png  188 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 18: 19.png  166 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 19: 30.png  220 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 20: 31.png  200 kB  Uploaded Sat Jun 17 07:18:15 2017  | Show | Show all
Attachment 21: 40.png  222 kB  Uploaded Sat Jun 17 07:45:15 2017  | Show | Show all
Attachment 22: 41.png  154 kB  Uploaded Sat Jun 17 07:45:15 2017  | Show | Show all
Attachment 23: 42.png  150 kB  Uploaded Sat Jun 17 07:45:15 2017  | Show | Show all
Attachment 24: 43.png  224 kB  Uploaded Sat Jun 17 07:45:15 2017  | Show | Show all
Attachment 25: 50.png  226 kB  Uploaded Sat Jun 17 07:52:50 2017  | Show | Show all
Attachment 26: 51.png  224 kB  Uploaded Sat Jun 17 07:52:50 2017  | Show | Show all
Attachment 27: 52.png  92 kB  Uploaded Sat Jun 17 07:57:06 2017  | Show | Show all
Attachment 28: 53.png  93 kB  Uploaded Sat Jun 17 07:57:06 2017  | Show | Show all
Attachment 29: 60.png  151 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 30: 61.png  132 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 31: 62.png  180 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 32: 63.png  165 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 33: 64.png  128 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 34: 65.png  123 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 35: 66.png  92 kB  Uploaded Sat Jun 17 10:36:44 2017  | Show | Show all
Attachment 36: 67.png  91 kB  Uploaded Sat Jun 17 11:00:05 2017  | Show | Show all
Attachment 37: 68.png  90 kB  Uploaded Sat Jun 17 11:25:57 2017  | Show | Show all
Attachment 38: 70.png  12 kB  Uploaded Sat Jun 17 11:42:03 2017  | Show | Show all
Attachment 39: 71.png  12 kB  Uploaded Sat Jun 17 11:42:15 2017  | Show | Show all
Attachment 40: R13_5.txt  10 kB  Uploaded Sat Jun 17 11:58:27 2017  | Show | Show all
ELOG V3.1.4-unknown