AIDA GELINA BRIKEN nToF CRIB ISOLDE CIRCE nTOFCapture DESPEC DTAS EDI_PSA 179Ta CARME StellarModelling DCF K40
  CARME, Page 22 of 38  ELOG logo
  ID Date Author Subjectdown
  44   Wed Aug 18 11:58:49 2021 NTD RSSMisc fittings and fasteners plus gasket inventory
Attachments 1-3 fasteners, fittings found amongst earlier shipments. I assume that the STFC DL cleaned items are for the internal detector mount assembly.


Inventory of silver-plated copper gaskets

             ID (cm)

7x DN250CF   25.4
5x DN200CF   20.0
5x DN160CF   15.3
100x DN100CF 10.0
4x DN63CF    6.3
16x DN35CF   3.5
23x DN16CF   1.6

N.B. ID is measured +/- 0.1cm and DNxxCF designation is what the Lesker catalogue says it means.

Attachments 4 & 9 2x motor rods and MACOR heat shields for cleaning

Attachment 5 - cable assemblies

Attachment 7 - what are these screws for?

 
Attachment 1: 20210818_113056.jpg
20210818_113056.jpg
Attachment 2: 20210818_111549.jpg
20210818_111549.jpg
Attachment 3: 20210818_111543.jpg
20210818_111543.jpg
Attachment 4: 20210819_162634.jpg
20210819_162634.jpg
Attachment 5: 20210819_163357.jpg
20210819_163357.jpg
Attachment 6: 20210819_163402.jpg
20210819_163402.jpg
Attachment 7: 20210819_163646.jpg
20210819_163646.jpg
Attachment 8: 20210819_163651.jpg
20210819_163651.jpg
Attachment 9: 20210819_164007.jpg
20210819_164007.jpg
Attachment 10: 20210819_164018.jpg
20210819_164018.jpg
Attachment 11: 20210819_164028.jpg
20210819_164028.jpg
Attachment 12: 20210819_164043.jpg
20210819_164043.jpg
Attachment 13: 20210819_164043.jpg
20210819_164043.jpg
Attachment 14: 20210819_164054.jpg
20210819_164054.jpg
Attachment 15: 20210819_164111.jpg
20210819_164111.jpg
Attachment 16: 20210819_164234.jpg
20210819_164234.jpg
Attachment 17: 20210819_164238.jpg
20210819_164238.jpg
Attachment 18: 20210819_164242.jpg
20210819_164242.jpg
Attachment 19: 20210819_164417.jpg
20210819_164417.jpg
Attachment 20: 20210819_164421.jpg
20210819_164421.jpg
Attachment 21: 20210819_164527.jpg
20210819_164527.jpg
Attachment 22: 20210819_164530.jpg
20210819_164530.jpg
  373   Wed Aug 10 10:33:03 2022 TD, ML, JM, NPMeeting at YR09 to discuss options for CARME operations to February 2022
Initial discussions of proposals to provide open CARME chamber and provide access to near and far detector chambers

We assume that we need to complete all work installing and testing DSSSDs and re-assembling CARME by Feb 2022 in readiness for bakeout. 




Proposal #1

Disconnect far detector chamber section from mid-chamber section
Disconnect DN350CF flange from near detector chamber 

Crane to support near detector chamber and mid-chamber sections

Remove feet of near detector chamber and mid-chamber sections

Install cradle(s) beneath near detector chamber and mid-chamber sections

Use for fork lift to remove cradle(s) + near detector chamber and mid-chamber sections - ML confirms that an appropriate fork lift is available 


Proposal #2 (suggested by ML)

Design and fabricate table plus rails to extend transverse rails of CARME chamber support assembly
The CARME chamber support assembly comprises the 'feet' plus two-stage welded Al frame 

Disconnect far detector chamber section from downstream section
Disconnect DN350CF flange from near detector chamber 

Slide CARME chamber plus upper stage of Al support frame transversely onto table

Use crane to move CARME chamber to work area


Other discussions

Discussed workarounds to enable install of FEE64s (outside ring, upper feedthrough) which currently conflicts with longitudinal beam of gas jet target support frame.


To Do

Edinburgh

- investigate feasibility of proposal #2
- investigate how much space is required to install FEE64s (outside ring, upper feedthrough)
  e.g. by extension of transverse beams of gas jet target support frame, move current assembly outwards from ring c. 20-25cm


GSI

- investigate feasibility of gas jet target support frame changes outlined above e.g. movement of gas/water services, purchase of longer cross beams etc.


Suggest meeting (online) to discuss results of these investigations and continue discussions w/c August 22
Attachment 1: 20220810_111638.jpg
20220810_111638.jpg
Attachment 2: 20220810_095036.jpg
20220810_095036.jpg
Attachment 3: 20220810_095150.jpg
20220810_095150.jpg
Attachment 4: 20220810_095159.jpg
20220810_095159.jpg
  403   Wed May 24 09:54:56 2023 JM, CBMaximum detector positions

The detectors were moved into the beam axis using the motors to check the maximum distance they can be moved in without collision with one another. 

Movement of both pneumatic motors results in a separation of ~ 4 cm between the detectors.

The servo motors for left and right were each moved in by 1 cm -> results in separation of 2 cm between the detectors

The servo motors for left and right were then each moved in by 5 mm -> results in separation of 1 cm between the detectors

The separation between the jacking plate of one of the detectors and the side of the adjacent detectors is closer than the separation of the detector silicons. The detectors could not be moved in any further than this to avoid collisions from the jacking plate

Attached are photos after movement of the detectors. Parallax may affect the distance on the ruler in the photos. 

During beam time -> Maximum distance that can be moved on the servo motors is 30 mm combined for left and right. (15 mm left and right or 10 mm left, 20mm right etc)  

 

Attachment 1: pneumatic-in.jpg
pneumatic-in.jpg
Attachment 2: pneumatic-in2.jpg
pneumatic-in2.jpg
Attachment 3: pneumatic-in-servo-10mm.jpg
pneumatic-in-servo-10mm.jpg
Attachment 4: pneumatic-in-servo-15mm.jpg
pneumatic-in-servo-15mm.jpg
Attachment 5: max-in.jpg
max-in.jpg
Attachment 6: max-in2.jpg
max-in2.jpg
Attachment 7: max-in-zoom.jpg
max-in-zoom.jpg
Attachment 8: max-in-jacking-plate.jpg
max-in-jacking-plate.jpg
Attachment 9: max-in-jacking-plate2.jpg
max-in-jacking-plate2.jpg
  459   Sun Jan 7 15:38:57 2024 CB, JMManifolds mostly assembled + bias overnight check

Assembled most of the connectors on the new (green) manifolds. Two blanking pulgs stuck when mounting - cannot remove them or tighten them. Swarf? Will ask Davide's help tomorrow. Cannot use the manifold until this is fixed.

Checked FEE assembly in cassette. Need to shorten black Delrin supports by ~6 mm. Holes should end up in the correct position thanks to rails being effectively longer (same length, different hole position on FEE cassette). Will ask Davide to skim one if possible. If not, will have to mount without supports.

Finally managed to get the CAEN program working to control N1419 remotely from Baking Pi. Plotting bias and leakage current overnight to test stability. See attached. IE514 sensor off for these tests.

Attachment 1: Screenshot_2024-01-07_16-38-26.png
Screenshot_2024-01-07_16-38-26.png
  461   Mon Jan 8 19:29:57 2024 CB, JMManifold repaired + fee assembly in bench

Davide extracted the stuck blind plugs and re-threaded all missing ports. Completed manifold assembly, except for main inlet/outlet - left for plumber.

Cut 8x2 2m hoses and assembled with Swagelok quikfit and teflon ferrules.

We're missing back ferrules in brass for the repaired fees. It should be possible to buy them from GSI.

 

Attempted assembly of fee, Flexi rigid and black delrin support on bench. Davide shimmed the black delrin supports by 6.5 mm from ridge. They now fit length wise on new adaptor cards. Unfortunately, the decision to flip the connector means the Flexi rigid is no longer at the correct height for the erni connector in the fee. See attached.

Inserting the Flexi rigid without the black support is too dangerous, and defeats the point of having rails. Will probably mount Flexi rigids tomorrow on black support, not screw into the fee holder, and align by eye. Tried once and it works - see last two attachments - but it will have unclear impact on the ease to unmount the fee without damaging the Flexi rigid and will make mounting fee holder on flanges harder. No alternative solutions in current timeframe.

Attachment 1: 20240108_164756.jpg
20240108_164756.jpg
Attachment 2: 20240108_163218.jpg
20240108_163218.jpg
Attachment 3: 20240108_163916.jpg
20240108_163916.jpg
Attachment 4: 20240108_164010.jpg
20240108_164010.jpg
  463   Wed Jan 10 19:27:08 2024 CB, JMManifold and more bias tests

Mounted water manifold on CARME with help from GSI plumber. Connected all the hoses with Swagelok self-locking connectors. Tested for water leaks - it leaks from nearly every port. The issue appear to be the connections between the manifold and the plugs, as opposed to the plugs and the hoses which do not leak.

Will try to find a solution tomorrow.

 

FEEs connected from bottom-left, top-left and top-right detector.

Top right detector showed same behaviour described in previous ELOG entry. Removed all jumpers from PCBs. Beahaviour is gone.

Removed all jumpers from flexi-rigid PCBs for bottom-left detector. Behaviour is improved, but not gone - still 13 uA at 150V. Around 3.5 uA at 120V with no conditioning - that may be the working point.

 

Missing

- Power cables and ethernet cables for half the fees

- Fix leaks on water manifold

- Fix / change / re-arrange fee holder for bottom-right detector to avoid it fouling on CARME frame.

  129   Fri Oct 22 13:11:26 2021 TDMSL type TTT14 assembly drawings & pin assignments
Attachment 1: C-3434.pdf
C-3434.pdf C-3434.pdf C-3434.pdf C-3434.pdf
Attachment 2: C-3517.pdf
C-3517.pdf C-3517.pdf C-3517.pdf C-3517.pdf C-3517.pdf
  264   Thu Feb 24 04:17:35 2022 CB, TD (remotely)MIDAS DAQ no longer working

DAQ server crashed around 24:00

Rebooted server but could not could not rescue remotely - could not contact raspberry pi in control of FEE bias.

Entered cave, rebooted raspberry pi. Would not accept remote connections.

Had to manually start DHCP service on carme-gsi using

systemctl start dhcpd

 

Raspi could then be connected.

Started DAQ, TapeServer and Merger as per usual. Tapeserver refuses writing to disk.

Checked merged - very odd timestamp situation. See attached. Timestamp look OK in the GSI WR tab. See attached.

Power cycled server and FEES

Timestamp issue solved. All are now reading 0x16 etc.

 

However tapeserver still does not write to disk. Reasons unclear. Possibly Merger is not merging and not passing data on?

Have to stop data collection until solved.

 

Attachment 1: Bad_Time_2022-02-24_04-34-12.png
Bad_Time_2022-02-24_04-34-12.png
Attachment 2: BadTime_WRTime_2022-02-24_04-34-53.png
BadTime_WRTime_2022-02-24_04-34-53.png
  729   Tue Jun 10 09:44:20 2025 JGMBS not running for a week
The MBS daq was not writing data since June 2. 22:39 (run_0035.lmd) The reason is that the rfio server was running on atppc025 which crashed at that time. We resumed running MBS now at 10.06. ca. 10:35. (run_0036.lmd).
  730   Tue Jun 10 09:44:30 2025 JGMBS not running for a week
The MBS daq was not writing data since June 2. 22:39 (run_0035.lmd) The reason is that the rfio server was running on atppc025 which crashed at that time. We resumed running MBS now at 10.06. ca. 10:35. (run_0036.lmd).
  731   Tue Jun 10 09:44:33 2025 JGMBS not running for a week

The MBS daq was not writing data since June 2. 22:39 (run_0035.lmd)

This means, no detector and target timing is available for this period.

The reason is that the rfio server was running on atppc025, which crashed at that time.

We resumed running MBS now at 10.06. ca. 10:35. (run_0036.lmd).

  517   Thu Feb 15 13:18:30 2024 JGMBS DAQ: target & ring values

We are running an MBS DAQ to record the attached list of slow control values of the ring and the target. In most cases this is achieved by converting analog monitor voltages to frequencies and recording them with a VME scaler (VULOM4B with scaler-firmware). The complete list of signals is attached including a channel mapping.

!! Target values are currently not provided, we can only record ring/cooler values !!

We do a scaler readout with a 1Hz trigger rate right now. This can be changed. This generates events with trigger number 1.

Additionally, we receive triggers from motor movement (trigger 2 & trigger 3) and from target ON and target OFF (trigger 12 & trigger 13).

Each event of any trigger type is WR timestamped.

There  is also a Go4 configured for online monitoring.

Details below. Or contact Jan Glorius.

----------------------------------------

Basic info and how-to for MBS

----------------------------------------

linux-user: cry_exp

VME CPU: r4l-58

DAQ PC: atppc025

data storage:  lxg1275:/data.local2/2024_CRYRING_16O_jet

The DAQ is running in a screen session "target_DAQ" on atppc025. Enter by typing

> screen -x "target_DAQ"

SSH connections to r4l-58 are active in tabs 0 and 1. Type [ctrl]+[a] then [x] to switch to tab x. 

If the SSH connection is lost type

> ssh cry_exp@r4l-58

> cd /esr/usr/cry_exp/2024_CRYRING_jet/mbs

MBS is running in tab 1. If it has an error, type [ctrl]+[z] to exit MBS, then

> resl

> mbs

mbs> @startup

mbs> @connect

to start a new file recording type

mbs> @runstart

If an error occures during @runstart, try @connect again.

Inside MBS you monitoring options:

mbs> show acq   (will show you trigger and data rates and status)

mbs> show file    (will show you the file status)

mbs> type ev -v 10    (will display 10 subsequent events from the data stream)

The trigger rate is currently 1Hz.

The trigger logic and setup in configured in /esr/usr/cry_exp/2024_CRYRING_jet/mbs/jet.trlo

-----------------------

Go4 monitoring

-----------------------

Go4 is running on atppc025 in the directory /u/cry_exp/Beamtimes/202402_O2+/go4_target

From this directory you can run it by typing

> go4 monitoring.hotstart

 

 

Attachment 1: 2024_jet_daq.txt
ch 1: jet_E1
ch 2: jet_E2
ch 3: jet_E3
ch 4: jet_E4
ch 5: jet_S1
ch 6: jet_S2
ch 7: jet_S3
ch 8: jet_S4
ch 9: jet_WWK
ch 10: jet_VV1
ch 11: jet_VV2
ch 12: jet_P0
ch 13: jet_T1
ch 14: jet_T2
ch 15: ring_RF
ch 16: Schottky_span0
ch 17: HV_cooler
ch 18: collector_current
ch 19: inhibit
  682   Mon May 12 13:25:09 2025 JGMBS DAQ - overview
An MBS DAQ is running to collect two types of data:

1. WR timestamps for machine events (injection, target ON/OFF, ...)
These are triggering the MBS individually.

2. Scaler rates for machine parameters (target pressures, ring RF, ...)
These are being readout each second by a 1Hz trigger.

DAQ quick manual


MBS node: cry_exp@r4l-70.gsi.de
directory: /esr/usr/cry_exp/2025_JET_CARME/mbs

DAQ running on: cry_exp@atppc028.gsi.de
in a screen session named "jetDAQ"

RFIO server: cry_exp@atppc028.gsi.de
in a screen session named "RFIO"
directory: /u/cry_exp/Beamtimes/202505_Li/lmd

RESTART DAQ on cry_exp@atppc028:
1. cd /u/cry_exp/Beamtimes/202505_Li
2. ./daqstart.sh

ENTER jetDAQ or RFIO screen on cry_exp@atppc028:
- screen -x jetDAQ
- screen -x RFIO

cabling documentation



MBS trigger inputs



trig. type event
1 (PENDING) ring injection
2 (PENDING) detectors IN
3 (PENDING) detectors OUT
4 (PENDING) target ON (shutter)*
5 (PENDING) target OFF (shutter)*
6 (PENDING) beam dumped
11 (PENDING) 1Hz scaler readout


*The GENESYS signal logic for the target shutter was changed several times, this means trigger 4 and 5 could be swapped. The motor signals (trigger 2 and 3), however, have not been touched and should be stable.

scaler inputs



scaler ch. rate/signal
1 gas jet E1 (inlet pressure zone 1)
2 gas jet E2 (inlet pressure zone 2)
3 gas jet E3 (inlet pressure zone 3)
4 gas jet E4 (inlet pressure zone 4)
5 gas jet S1 (outlet pressure zone 1)
6 gas jet S2 (outlet pressure zone 2)
7 gas jet S3 (outlet pressure zone 3)
8 gas jet S4 (outlet pressure zone 4)
9 gas jet T1
10 gas jet T2
11 gas jet VV1
12 gas jet ??
13 Scintillator rate (0 deg. det. YR09) [ONLY AFTER 12-06-2025 16:30]
14 -
15 -
16 -
17 cryring IMP horizontal
18 cryring IMP vertical
19 cryring PCT
20 cryring ICT int
21 cryring BPM int
22 cryring Schottky span 0
23 cry-radio
24 cryring RF
25 -
26 -
27 -
28 -
29 -
30 -
31 -
32 -
  385   Wed Jan 18 13:32:36 2023 PJCSMACB settings with either Emulator or VETAR

When using the VITAR connected to the HDMI port of the root MACB the settings for all the MACB in the system 0x3.

This setting takes the Clock and Data line from the input HDMI and outputs it via teh HDMI output ports.

When using the Emulator connected via the SMA connectors on the back of the root MACB then the setting for the root MACB should be 0xD and all others should be 0x3.

Attached is the .jed file for programming the MACB and the .vhd source file to help with understanding of the settings.

Attachment 1: macb_apr20.jed
Attachment 2: macb_apr20.vhd
----------------------------------------------------------------------------------
-- Company: 
-- Engineer: 
-- 
-- Create Date:    17:03:27 03/16/2011 
-- Design Name: 
-- Module Name:    macb_top - Behavioral 
-- Project Name: 
-- Target Devices: 
-- Tool versions: 
-- Description: 
--
-- Dependencies: 
--
-- Revision: 
-- Revision 0.01 - File Created
-- Additional Comments: 
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
-- NOTE all in/out notations are relative to this unit
entity macb_apr20 is
    Port ( 
			  port1_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port2_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port3_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port4_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  layer_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  layer_trigger : out std_logic ;
           sync_return : in  STD_LOGIC_VECTOR (3 downto 1);
           selector : in  STD_LOGIC_VECTOR (3 downto 0);
           sync_select : out  STD_LOGIC_vector(1 downto 0 );
           clock200_select : out  STD_LOGIC_vector( 1 downto 0 ) ;
			  butis_divide_reset : out std_logic ;
			  butis_divide_s : out std_logic_vector( 2 downto 0 ) ;
			  clock_5 : in std_logic ;
			  sync_5 : in std_logic ;
			  trigger : in std_logic_vector( 3 downto 0 ) ;
           MBS_in : in  STD_LOGIC_VECTOR (3 downto 0);
           MBS_out : out  STD_LOGIC_VECTOR (3 downto 0));
end macb_apr20;

architecture Behavioral of macb_apr20 is
signal port1_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port2_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port3_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port4_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal layer_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal seli : integer range 0 to 15 := 0  ;
-- well really
signal MBS_in_n : std_logic_vector( 3 downto 0 ) := "0000" ;
begin
MBS_in_n <= ( not MBS_in);
seli <= conv_integer(not selector) ;
-- MBS signal allocations to sp lines and HDMI pin. This maps to NIM connections
-- 0 :	MBS_clock10 	SP0	13
-- 1 :	MBS_reset		SP1	14
-- 2 :	MBS_reset_rq	SP2	15
-- 3 :	MBS_Trigger		SP3	16
layer_trigger <= trigger(0) or trigger(1) or trigger(2) or trigger(3) ;

-- divider controls set for pass-through
butis_divide_reset <= '1' ; -- for now don't reset ;

process ( seli , MBS_in_n, port1_spi, port2_spi, port3_spi, port4_spi, layer_spi, sync_return ,sync_5  )
-- note : & => concatenate
begin
	case seli is 
	when 0 => --- Master/ Root / MBS / Internal clock
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "00" ; -- select internal 200 MHz oscillator
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
		
	when 1 => --- Master/ Root / MBS / BuTiS clock and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 2 => --- Master/ Branch / MBS / Next layer clock next layer SYNC
		port1_spo <= layer_spi(3) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 3 => --- Slave / Branch / MBS / Next layer clock and sync
		port1_spo <= layer_spi(3) & '0'  & layer_spi(1) & layer_spi(0);
		port1_t <= "0100" ; -- drive clock, reset, trigger only 
		port2_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & '0' & '0' ; -- drive nothing
		layer_t <= "1111" ; -- just drive nothing down
		sync_select <= "10" ; -- select sync from next layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi ; -- map all the signals for monitoring ?
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
		
	when 4 => --- Master/ Root / MBS / BuTiS clock / Internal SYNC / External timestamp reset
		port1_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port1_t <= "0100" ; -- drive clock, reset, trigger only
		port2_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external 50 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & MBS_in_n(1) & sync_5 ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 5 => --- Master/ Root / MBS / External 50Mhz clock / Internal Sync
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external SMA input 
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass through.
			
	when 6 => --- Master/ Root / MBS / External 100Mhz clock / Internal Sync
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external SMA input 
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "100" ; -- s2 is 1 for external, 00 for /2.
		
	when 7 => --- Fast NIM input for each FEE / Next layer clock next layer SYNC
		port1_spo <= MBS_in_n(0) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(1) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(2) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 8 => --- Fast NIM input from Input 3 for each FEE / Next layer clock next layer SYNC
		port1_spo <= MBS_in_n(3) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
	
	when 9 => --- Master/ Root / Internal clock / sync_returns to NIM
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "00" ; -- select internal 200 MHz oscillator
		MBS_out <=  sync_return(3) & sync_return(2) & sync_return(1) & '0' ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 10 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= "0000" ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n ; -- for testing NIM I/O
		butis_divide_s <= "100" ; --  s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16

	when 12 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "100" ; --  s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16

	when 13 => --- Master/ Root / MBS / BuTiS clock /4 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "101" ; --  s2 = 1 and s1,s0 decode to  01=>/4
		
	when 14 => --- Master/ Root / MBS / BuTiS clock /8 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
... 161 more lines ...
Attachment 3: zybo.jpg
zybo.jpg
Attachment 4: MACB.jpg
MACB.jpg
  56   Fri Sep 3 14:14:40 2021 TDLeybold IE514 manual & calibration data
Attachment 1: 20210903_141201.jpg
20210903_141201.jpg
Attachment 2: Ionivac_Sensors_IE414_IE514.pdf
Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf Ionivac_Sensors_IE414_IE514.pdf
  392   Sun Apr 30 10:01:39 2023 CB, JMLeft hand detectors unmounted

(29 April)

Inspected CARME after opening. See attached. Some key takeaways.

- No visible damage to the detectors or the Kapton cables. No visible deposits or dust on the detectors either. 

- No damage even to the fragile thermocouple wires

- The strain relief on the top and bottom did nothing. Those on the sides may have helped.

- The split funnels on top fell and fortunately missed the detectors. We should not keep them. Unclear if they feel when moving CARME or during the beamtime but either is too dangerous. We need another solution or we'll have to do without.

- Obvious traces of white dust particles over the chamber. Most likely MACOR.

 

Jacked out harness for bottom left detector that was not accepting bias. MACOR shavings fell off while jacking out. MACOR connector on side with no pins is clearly damaged. See attached. Should not affect performance.

Tested bias pins - they work. No visible damage to the detector except one bias bond wire has disconnected. Possibly one more nearby. TD thinks this is not enough to explain observed behaviour.

 

Mounting a DSSD on the plate while it hangs in Carme appears possible but very risky. Dismounted whole left support plate, and right support plate. 

 

Unless a clear fault can be identified for either the bottom left detector or its harnesses, both the detector and the harnesses will be replaced. Plan to remount top left detector with the same harnesses.

Plan to mount two more detectors on the right hand plate.

Attachment 1: 20230428_181020.jpg
20230428_181020.jpg
Attachment 2: 20230428_181123.jpg
20230428_181123.jpg
Attachment 3: 20230428_181126.jpg
20230428_181126.jpg
Attachment 4: 20230428_181129.jpg
20230428_181129.jpg
Attachment 5: 20230428_181131.jpg
20230428_181131.jpg
Attachment 6: 20230428_181133.jpg
20230428_181133.jpg
Attachment 7: 20230428_181139.jpg
20230428_181139.jpg
Attachment 8: 20230428_181141.jpg
20230428_181141.jpg
Attachment 9: 20230429_150436.jpg
20230429_150436.jpg
Attachment 10: 20230429_150717.jpg
20230429_150717.jpg
Attachment 11: 20230429_151421.jpg
20230429_151421.jpg
Attachment 12: 20230429_151425.jpg
20230429_151425.jpg
Attachment 13: 20230429_151428.jpg
20230429_151428.jpg
Attachment 14: 20230429_151431.jpg
20230429_151431.jpg
Attachment 15: 20230429_151432.jpg
20230429_151432.jpg
Attachment 16: 20230429_151435.jpg
20230429_151435.jpg
Attachment 17: 20230429_151436.jpg
20230429_151436.jpg
Attachment 18: 20230429_151444.jpg
20230429_151444.jpg
Attachment 19: 20230429_151449.jpg
20230429_151449.jpg
Attachment 20: 20230429_151453.jpg
20230429_151453.jpg
Attachment 21: 20230429_152026.jpg
20230429_152026.jpg
Attachment 22: 20230429_152045.jpg
20230429_152045.jpg
Attachment 23: 20230429_160614.jpg
20230429_160614.jpg
Attachment 24: 20230429_162251.jpg
20230429_162251.jpg
Attachment 25: 20230429_162257.jpg
20230429_162257.jpg
Attachment 26: 20230429_172209.jpg
20230429_172209.jpg
  393   Mon May 1 08:26:09 2023 CB, JMLeft hand detectors remounted

(31 April)

Remounted 3335-12 on top and new 3335-1 on bottom of left hand plate. Used new dog legs and old standoffs.

 

Dismounted all harnesses and feedthroughs from CARME. Dismounted all blind flanges on right hand side. Also had to dismount bottom SAES feedthrough due to a bolt falling inside.

Remounted feedthough. Remounted left hand detector plate.

 

Prepared new 3335-11 and 3335-13 on top and bottom of right hand plate. Planning to mount tomorrow.

Also planning to start jacking in detectors to new harnesses.

 

Not possible to test any detector on the bench due to lack of appropriate multimeter probe. Will have to test with harnesses.

  466   Sat Jan 13 14:32:21 2024 CB, JMLeaky manifold and cable management

Found two leaks in the welding of the manifolds, marked them in tape. Manifold to be repaired on Monday. Remember to re-install missing hoses, which are  in a bucket in the cave.

See attached how it is supposed to look like.

Left hand hose (inlet) was too short and was cut to dismount the manifold. Davide will obtain a new tube of the same type and mount it for us. NOTE THAT THE INLET connector (BLUE manifold) was leaking. Unclear if this was the hose or poor plumbing.

Installed cable management system that was never used during the last beamtime. Started to thread cables through it. There should be sufficient space to re-install the manifolds with the cable tray in place. If this is difficult, the tray is installed with zip ties only so altering position should be relativly straightforward. The mounting points of the outlet manifold on the CARME chamber can also be rotated around to give a bit more space.

Cleaned up some boxes and moved heaters and some empty boxes to the CRYRING roof.

Attachment 1: 20240112_111838.jpg
20240112_111838.jpg
Attachment 2: 20240112_105936.jpg
20240112_105936.jpg
Attachment 3: 20240113_183019.jpg
20240113_183019.jpg
  673   Tue Apr 15 12:23:10 2025 JMLeakage current / CARME issues

14/04/25

Arrived at GSI in the afternoon.

Found that the coolant loop on aida08 had popped out which resulted in the high FEE temperatures observed (see attachment 1). Female swagelock connector on FEE suggested to be the cause as this connector has the locking mechanism. FEE dismounted and female swagelock connector removed. No obvious defect in the connector (see attachment 2).

Turning on detectors we observe ~4uA in DSSD2 and DSSD4 (right hand side detectors) and ~15uA in DSSD1 and DSSD3 (left detectors). Leakage currents in left hand side detectors is down from ~17uA seen at the end of last week and ~19uA at start of last week. Temperatures in the cave do not appear to have changed sufficiently to explain this change. VI curve completed to test diode response (attachment 3+4).

Motors turned on to again see if it changes the leakage currents in any way. Both arms homed. Left arm moved in with pneumatic and servo (10mm). No change in leakage currents during movement or when fully in. Same procedure with the right arm. No change in leakage currents in either. I doubt this is a light affect. If some light was incident on the left hand detectors, moving the detectors should have changed the amount of light on them in some way, reducing the leakage current. Similarly if light can hit the detector when fully in for the left arm, some should be hitting the right arm when it is fully in too, but no change observed on the right arm either. No light sources found on interaction chamber, baking jackets covers all ports.

Movement for several repeptitions with the pneumatic tried to see if this had any affect -> no change

Bias lines for DSSD1 and DSSD2 swapped around on the caen bias module. No change in leakage current for DSSD1 or 2. Channel on bias module not to blame.

Tried turning ion pumps off, no change on leakage currents.

Tried reverse biasing the detectors. Polarity for channels 0 (DSSD1) and 2 (DSSD3) swapped from -ve to +ve on bias module. Core and braid swapped on adaptor card. Completed VI curve when reverse biased for these detectrors. Obtain the same curve as with -ve polarity. Left at 100V for 10-15 minutes. Put polarities back to -ve, no change in leakage currents observed.

Opended up one of the diamond windows with bias off. Shone my phone light through the window for ~30 seconds. Closed window off again, biased, no change observed. Left detectors biased overnight. CH0 - 15.4 uA, CH2-14.7uA.

15/04/25

No change in leakage currents overnight. From gnuplot they look pretty flat overnight. Perhaps slight decrease.

Checked attaching LK2 jumper to aida09 (nn DSSD3). Could not reach other nn adaptor card. No effect on leakage current. Dismounted jumper.

New female connector mounted on aida08 and FEE remounted.

Restarted AIDA system by closing all windows and servers. Error on starup, could not connect to relay16 for FEE power.

Issue related to dew point interlock. Dew point sensor is very sensitive and any movement can cause it to trip. Sensor was not well supported on the coolant pipe. Sensor remounted with plastic zip ties. Issue resolved. Investigate some noise issues in the afternoon

After lunch I have noticed the leakage current have increased slightly. See attachment 5. CH3 is higher and appears to be fluctuating by 1-2uA. Turning off bias and going to cave to investigate.

Bias still higher and fluctating on CH3. Konstantin is in the ring, but he has not started any works yet, some grossmontage are also in the ring and are working on the new source. Could this be affecting us? Either way, will startup daq to check rates/noise etc.

Previous settings applied (i.e all channels back to LEC). Have lost the dew point interlock again!

I have suspended the dew point sensor in the air for the time being -> need to put a dummy in.

Grossmontage working on ion source have left and leakage current on DSSD3 is no longer fluctuating -> coincidence? (attachment 6)

AIDA started again. Rates appear OK except for on 3,4 and 15. (see attachement 7,8,9)  Note the rates on 9,10 are reduced compared to previous elog entry. Note pulser off. Note on aida startup aida16 clock status fail and ADC calibration fail for aida 6,14 and 16

16:00

We again see DSSD3 leakage current fluctuating. I will head back to the cave to check if anyone is working again? Nikos and davide working on the target but can't see how they would impact the leakage current (they were only installing a support for the new turbo)

DSSD3 remains fluctuating even now they have stopped working.

Pulser on. Same settings as elog 664 -> freq = 10 Hz

Overall pulser widths look bad, rates (ADC data items) look okay (~1000) for all except aida03 and aida04 (>250,000). Again rates on aida09 and aida10 are good although the widths are poor. One change I did make was to ground the FEEs to the CRYRING ground wheras previously they were grounded to the coolant pipe. I may try putting them back onto the coolant loop to see if there are any changes. Note grounds all around have not been examined yet.  Attachments 10-17 with pulser on.

Moved grounds back onto the coolant pipe -> no effect on ADC rates.

Also tried lowing slow comparator theshold down to 150 keV (0xf) for most channels (except aida3 and 4). See rates attachment 18.

FEE power off, bias remains on

 

Attachment 1: 20250414_120219.jpg
20250414_120219.jpg
Attachment 2: pic2.jpg
pic2.jpg
Attachment 3: left-VI.png
left-VI.png
Attachment 4: right-VI.png
right-VI.png
Attachment 5: Screenshot_from_2025-04-15_13-53-33.png
Screenshot_from_2025-04-15_13-53-33.png
Attachment 6: Screenshot_from_2025-04-15_15-43-37.png
Screenshot_from_2025-04-15_15-43-37.png
Attachment 7: Screenshot_from_2025-04-15_15-22-44.png
Screenshot_from_2025-04-15_15-22-44.png
Attachment 8: Screenshot_from_2025-04-15_15-28-58.png
Screenshot_from_2025-04-15_15-28-58.png
Attachment 9: Screenshot_from_2025-04-15_15-29-29.png
Screenshot_from_2025-04-15_15-29-29.png
Attachment 10: Screenshot_from_2025-04-15_16-21-16.png
Screenshot_from_2025-04-15_16-21-16.png
Attachment 11: Screenshot_from_2025-04-15_16-23-21.png
Screenshot_from_2025-04-15_16-23-21.png
Attachment 12: Screenshot_from_2025-04-15_16-24-20.png
Screenshot_from_2025-04-15_16-24-20.png
Attachment 13: Screenshot_from_2025-04-15_16-24-54.png
Screenshot_from_2025-04-15_16-24-54.png
Attachment 14: Screenshot_from_2025-04-15_16-25-36.png
Screenshot_from_2025-04-15_16-25-36.png
Attachment 15: Screenshot_from_2025-04-15_16-26-13.png
Screenshot_from_2025-04-15_16-26-13.png
Attachment 16: Screenshot_from_2025-04-15_16-26-48.png
Screenshot_from_2025-04-15_16-26-48.png
Attachment 17: Screenshot_from_2025-04-15_16-28-02.png
Screenshot_from_2025-04-15_16-28-02.png
Attachment 18: Screenshot_from_2025-04-15_17-27-12.png
Screenshot_from_2025-04-15_17-27-12.png
  415   Thu Jul 6 15:26:39 2023 JMLeak update

The leak on the actuator arm bellows has been attempted to be sealed using UHV vacuum seal spray. The spray had the opposite to the desired effect and the leak rate increased. When spraying with helium the leak rate had increased to 1e-9 mbar l/s. After lunch a second application of the vacuum seal spray was attempted, however the leak was not sealed. The base leak rate had also increased to the 1e-10 level up from in the morning and yesterday. With the leak increasing in size and risk of a full failure, we are no longer able to move forward with the bakeout. 

The chamber has been vented and we will move forward with plans for replacing the bellow tomorrow. 

Attached plot shows the most current pumpdown.  

Attachment 1: pumping.png
pumping.png
ELOG V3.1.3-7933898