AIDA GELINA BRIKEN nToF CRIB ISOLDE CIRCE nTOFCapture DESPEC DTAS EDI_PSA 179Ta CARME StellarModelling DCF K40
  DESPEC, Last 1024 days  ELOG logo
ID Date Author Subject
  702   Tue Apr 8 08:52:39 2025 TDAIDA grounding
AIDA DSSSDs and FEE64-DSSSD cabling are electrically isolated (by design) from snout, mounting rods and support assembly.
 FEE64-DSSSD ribbon cables screened by 3M 1245 Cu foil, drain wire to FEE64 adaptor PCB

AIDA FEE64s are electrically isolated (by design) from the AIDA support frame and each other.

BNC PB-5 test signal 
 daisy chained to FEE64 adaptor PCBs via screened RG174 cable/Lemo 00-250 

CAEN N1419ET DSSSD bias
 daisy chained to 1x p+n junction FEE64 adaptor PCB/Si wafer via screened RG174 cable/Lemo 00-250
 CAEN N1419ET outputs floating, locally grounded by 1x n+n Ohmic FEE64 adaptor PCB link/DSSSD

AIDA FEE64s are connected to the DESPEC 19" rack
 power (AIDA FEE64 PSUs),
 timestamp (AIDA NIM MACB modules),
 system console (via serial-USB cables to USB hubs),
 RJ45 network cables (24 port Gbit network switch)

AIDA PSU PowerStax MS1U-6C-222233-01 - see attachments 1-2
 PSU outputs V+/V- and common 
 no direct ground connection

AIDA MACB
 HDMI cabling MACB-FEE64 is screened and shield is grounded

system console
 ?

RJ45 network cables are coupled by ferrite beads to FEE64 PCB and network switch
 no direct ground connection
Attachment 1: powerstax-ms1u_instructionmanua.pdf
powerstax-ms1u_instructionmanua.pdf powerstax-ms1u_instructionmanua.pdf
Attachment 2: 20250408_095624.jpg
20250408_095624.jpg
  701   Tue Mar 11 11:53:18 2025 TDMonday 10 March
18.30 AIDA powered up for brief test

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3
       ASIC settings at power up - slow comparator 0x64

      per p+n FEE64 1.8.L spectra - attachment 4
       pulser peak width aida9 55 ch FWHM (39keV FWHm), aida15 53 ch FWHM (37keV FWHM)

      p+n FEE64 aida15 1.8.W spectra - 20us FSR - attachments 5-6

      saved *.L, *.H, *.W spectra for aida15 - attachments 7-11
       *.W spectra - 20us, 200us, 2ms & 20ms FSR 


19.27 DAQ STOP
      DSSSD bias OFF
      FEE64 power OFF
Attachment 1: Screenshot_from_2025-03-10_18-41-32.png
Screenshot_from_2025-03-10_18-41-32.png
Attachment 2: Screenshot_from_2025-03-10_18-42-02.png
Screenshot_from_2025-03-10_18-42-02.png
Attachment 3: Screenshot_from_2025-03-10_18-42-33.png
Screenshot_from_2025-03-10_18-42-33.png
Attachment 4: Screenshot_from_2025-03-10_18-43-07.png
Screenshot_from_2025-03-10_18-43-07.png
Attachment 5: Screenshot_from_2025-03-10_18-48-03.png
Screenshot_from_2025-03-10_18-48-03.png
Attachment 6: Screenshot_from_2025-03-10_18-48-39.png
Screenshot_from_2025-03-10_18-48-39.png
Attachment 7: 2025Mar10-17.59.58.tar.gz
Attachment 8: 2025Mar10-18.05.01.tar.gz
Attachment 9: 2025Mar10-18.06.29.tar.gz
Attachment 10: 2025Mar10-18.12.03.tar.gz
Attachment 11: 2025Mar10-18.17.55.tar.gz
  700   Sat Feb 22 12:35:38 2025 TDOffline analysis data files R9_85-R9_199 (84Mo setting)
Start 00:43 22.2.25 https://elog.gsi.de/despec/G-24-00302/57
AIDA data file R9_85

Converting hexadecimal timestamp to decimal: 1740181266259754800
Assuming that this timestamp is in nanoseconds (1 billionth of a second):
GMT: Friday, February 21, 2025 11:41:06.259 PM
Your time zone: Friday, February 21, 2025 11:41:06.259 PM GMT+00:00


End 12:56 22.2.25 https://elog.gsi.de/despec/G-24-00302/85 (start of next degrader setting)
AIDA data file R9_199

Converting hexadecimal timestamp to decimal: 1740224934731157800
Assuming that this timestamp is in nanoseconds (1 billionth of a second):
GMT: Saturday, February 22, 2025 11:48:54.731 AM
Your time zone: Saturday, February 22, 2025 11:48:54.731 AM GMT+00:00

Analysis data files FEB25/R9_85 and FEB25/R9_199
 - no timewarps
 - deadtime aida05 c. 37% and 39% due to high SC41 scaler input to MACB - issue subsequently fixed 
 - deadtime aida04 c. 4%, all other FEE64s <<1%




FEE64 configuration

FEE64   a b c 
      g       h
        d e f

         a  b  c  d  e  f  g  h
DSSSD#1 15  3 12  9  1  5  2  4

n+n Ohmic FEE64s 2, 4

Data analysis assumes

- all LEC ADC data channels with valid ADC offset included (507 of 512 channels)
      LEC calibration ADC offset only

- no clustering

- no multiplex timestamp correction

- no p+n junction side - n+n Ohmic side correlation time gates

- FEE64 *not* DSSSD strip ordering

- hardware - slow comparator setting p+n junction FEE64s 100keV, n+n Ohmic FEE64s 150keV

- LEC energy difference +/- 168keV

- HEC energy difference +/- 1.68GeV

- valid LEC events

   p+n junction side multiplicity = 1 and n+n Ohmic side multiplicity = 1
   151keV < LEC energy < 1000keV
    to select candidate beta events
    standalone analysis of AIDA data, no downstream veto detector

- valid HEC events
   p+n junction side multiplicity > 0 and n+n Ohmic side multiplicity > 0
   (x,y) strips corresponding to maximum energy
   p+n junction and n+n Ohmic side HEC 

- HEC veto 
   not available - only 1x AIDA DSSSD installed

- per pixel implant-decay correlations

- end of event 
   difference in WR timestamp between successive ADC data items > 2500 and overall event length < 33us





per FEE64 LEC ADC data items 268ms/channel - attachments 3-5
- all
- 150keV < energy < 1500keV
- energy > 1500keV

absence of high instantaneous rates on spill cf. S181, S100, S505 etc
spill structure visible for energies > 1500keV - probably to be expected as majority of such events should be light ions

per FEE64 HEC ADC data items 268ms/channel - attachments 6-8

- all
- 100MeV < energy < 1000MeV
- energy > 1000MeV

Implant & decay event rates 262us/channel - attachment 9

LEC m_p versus m_n - attachment 10

LEC e_p versus e_n - 20keV/channel - attachment 11

HEC x strip versus y strip - attachment 12

- HEC-LEC implant decay time difference <1s
- HEC-LEC implant decay time difference <100s

shows x-y window used to select 82Nb events

HEC m_p versus m_n - attachment 13

HEC e_p versus e_n - 20MeV/channel - attachment 14


HEC-LEC implant-decay time difference (4ms/channel) versus - attachment 15
- HEC energy 
- LEC energy
- x & y strip 

x strips 130 & 136 disabled

HEC & LEC p strip - n strip time difference (2us/channel, offset=2000 channels) - attachment 16

HEC E (20MeV/channel) versus implant-decay time difference (4.194ms/channel) - attachment 17

LEC E (20keV/channel) versus implant-decay time difference (4.194ms/channel) - attachment 18

LEC e_p - e_n (5.6keV/channel) versus implant-decay time difference (4.194ms/channel) - attachment 19

HEC x & y strip versus implant-decay time difference (4.194ms/channel) - attachment 20

HEC-LEC implant-decay time difference (8.389ms/channel) for candidate 82Nb events - attachment 21
- blue - forward
- cyan - backward

Note
- no FRS PID
- no HEC dE
- no HEC veto
- no HEC energy gates - assume all events within x-y window *stop* in AIDA DSSSD - 82Nb events known to overlap in x-y with (longer-lived, more numerous) 83Nb events
- no front-back time difference
- no clustering
- *all* LEC channels with valid ADC offsets (507 of 512) included *except* 2x strips 130 & 136

HEC-LEC implant-decay time difference (8.389ms/channel) for candidate 82Nb events - attachment 22

Weighted least squares fit channels 1-12 half life 55(9)ms cf. NNDC 50.0(3)ms.
Attachment 1: R9_85.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  143503687 (  365856.8 Hz)
        Other data format:  118416315 (  301897.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       2560 (       6.5 Hz)
                               RESUME:       2560 (       6.5 Hz)
                              SYNC100:      33461 (      85.3 Hz)
                              WR48-63:      33461 (      85.3 Hz)
                           FEE64 disc:     326756 (     833.1 Hz)
                             MBS info:  118017517 (  300880.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:      29285 (      74.7 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      392.240 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.069                0.000
  2                0.000                0.000
  3               17.209                0.000
  4              146.817                0.000
  5                0.000                0.000
  6                0.000                0.000
  7                0.000                0.000
  8                0.000                0.000
  9                0.009                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    7002448      45530          0          0          0          0        930        930      31901      11769          0       2888
  1   22874309      77583          0          0         18         18       3036       3036      71475          0          0       7512
  2    6498360    4555402          0          0          0          0       1475       1475      34629    4517823          0       3971
  3   82625693      69682          0          0       1306       1306      10819      10819      45432          0          0       3669
  4    4358474  109462549          0          0       1235       1235      14045      14045       2695  109429294          0        206
  5          0          0          0          0          0          0          0          0          0          0          0          0
  6         88          0          0          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0          0          0          0
  8    2927664      19415          0          0          0          0        391        391      18633          0          0       4389
  9   14346055    3938106          0          0          1          1       2392       2392      18452    3914868          0       1270
 10        128          0          0          0          0          0          0          0          0          0          0          0
 11    1956985     169485          0          0          0          0        257        257      25208     143763          0       3888
 12        212          0          0          0          0          0          0          0          0          0          0          0
 13         55          0          0          0          0          0          0          0          0          0          0          0
 14     913148      78563          0          0          0          0        116        116      78331          0          0       1492
 15         68          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   24.117s ( 1326.854 blocks/s,  82.928 Mb/s)
Attachment 2: R9_199.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  154723223 (  435714.6 Hz)
        Other data format:  107196777 (  301875.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       2462 (       6.9 Hz)
                               RESUME:       2462 (       6.9 Hz)
                              SYNC100:      33323 (      93.8 Hz)
                              WR48-63:      33323 (      93.8 Hz)
                           FEE64 disc:     288355 (     812.0 Hz)
                             MBS info:  106836852 (  300862.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:      32530 (      91.6 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      355.102 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.008                0.000
  1                0.147                0.000
  2                0.000                0.000
  3               21.597                0.000
  4              136.749                0.000
  5                0.000                0.000
  6                0.000                0.000
  7                0.000                0.000
  8                0.000                0.000
  9                0.006                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    9317141      49848          0          0          6          6       1239       1239      36708      10650          0       3256
  1   27128444      89326          0          0         31         31       3596       3596      82072          0          0       8340
  2    7611251    4365052          0          0          0          0       1569       1569      37972    4323942          0       4609
  3   84626002      75259          0          0       1316       1316      10898      10898      50831          0          0       3978
  4    4974948   98704420          0          0       1107       1107      12783      12783       2668   98673972          0        187
  5          0          0          0          0          0          0          0          0          0          0          0          0
  6        122          0          0          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0          0          0          0
  8    3383262      22113          0          0          0          0        436        436      21241          0          0       4811
  9   14397933    3689217          0          0          2          2       2371       2371      21039    3663432          0       1355
 10          1          0          0          0          0          0          0          0          0          0          0          0
 11    2194427     194484          0          0          0          0        298        298      29032     164856          0       4304
 12        140          0          0          0          0          0          0          0          0          0          0          0
 13        260          0          0          0          0          0          0          0          0          0          0          0
 14    1088899       7058          0          0          0          0        133        133       6792          0          0       1690
 15        393          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   26.438s ( 1210.402 blocks/s,  75.650 Mb/s)
Attachment 3: Screenshot_from_2025-03-05_10-04-30.png
Screenshot_from_2025-03-05_10-04-30.png
Attachment 4: Screenshot_from_2025-03-05_10-04-55.png
Screenshot_from_2025-03-05_10-04-55.png
Attachment 5: Screenshot_from_2025-03-05_10-05-29.png
Screenshot_from_2025-03-05_10-05-29.png
Attachment 6: Screenshot_from_2025-03-05_10-07-35.png
Screenshot_from_2025-03-05_10-07-35.png
Attachment 7: Screenshot_from_2025-03-05_10-07-56.png
Screenshot_from_2025-03-05_10-07-56.png
Attachment 8: Screenshot_from_2025-03-05_10-08-29.png
Screenshot_from_2025-03-05_10-08-29.png
Attachment 9: Screenshot_from_2025-03-05_10-09-24.png
Screenshot_from_2025-03-05_10-09-24.png
Attachment 10: Screenshot_from_2025-03-05_10-10-29.png
Screenshot_from_2025-03-05_10-10-29.png
Attachment 11: Screenshot_from_2025-03-05_10-11-17.png
Screenshot_from_2025-03-05_10-11-17.png
Attachment 12: Screenshot_from_2025-03-05_10-12-05.png
Screenshot_from_2025-03-05_10-12-05.png
Attachment 13: Screenshot_from_2025-03-05_10-12-35.png
Screenshot_from_2025-03-05_10-12-35.png
Attachment 14: Screenshot_from_2025-03-05_10-13-38.png
Screenshot_from_2025-03-05_10-13-38.png
Attachment 15: Screenshot_from_2025-03-05_10-14-59.png
Screenshot_from_2025-03-05_10-14-59.png
Attachment 16: Screenshot_from_2025-03-05_10-15-51.png
Screenshot_from_2025-03-05_10-15-51.png
Attachment 17: Screenshot_from_2025-03-08_09-11-40.png
Screenshot_from_2025-03-08_09-11-40.png
Attachment 18: Screenshot_from_2025-03-08_09-11-58.png
Screenshot_from_2025-03-08_09-11-58.png
Attachment 19: Screenshot_from_2025-03-08_09-13-07.png
Screenshot_from_2025-03-08_09-13-07.png
Attachment 20: Screenshot_from_2025-03-08_14-01-50.png
Screenshot_from_2025-03-08_14-01-50.png
Attachment 21: Screenshot_from_2025-03-08_12-21-22.png
Screenshot_from_2025-03-08_12-21-22.png
Attachment 22: 82Nb.png
82Nb.png
  699   Thu Feb 20 17:06:42 2025 JB, CC, TD,MPWR error for aida 13,14,15,16

WR timestamp errors resolved after reseating the HDMI cables to the MACB

  698   Wed Feb 12 10:46:01 2025 TDOffline analysis data files S181 R4_351-396
Analysis data files R4_351-396


Data file R4_351 first WR ts 0x17D7B4C72B0BA9C8
Converting hexadecimal timestamp to decimal: 1718040550378809900
Assuming that this timestamp is in nanoseconds (1 billionth of a second):
GMT: Monday, June 10, 2024 5:29:10.378 PM
Your time zone: Monday, June 10, 2024 6:29:10.378 PM GMT+01:00 DST
Relative: 8 months ago

Data file R4_396 first WR ts 0x17D7BE86408888E8
Converting hexadecimal timestamp to decimal: 1718051266682718500
Assuming that this timestamp is in nanoseconds (1 billionth of a second):
GMT: Monday, June 10, 2024 8:27:46.682 PM
Your time zone: Monday, June 10, 2024 9:27:46.682 PM GMT+01:00 DST
Relative: 8 months ago

Attachments 1-2 - analysis data files R4_351 and R4_396
 max. *time averaged* deadtime FEE64 #7 (aida08) 1.7% and 1.7% respectively
 all other FEE64 deadtimes < 1%





FEE64 configuration

FEE64   a b c 
      g       h
        d e f

         a  b  c  d  e  f  g  h
DSSSD#1 15  3 12  9  1  5  2  4
DSSSD#2 11  7 16 10 14 13  6  8

n+n Ohmic FEE64s 2, 4, 6, 8

Data analysis assumes

- all LEC ADC data channels with valid ADC offset included (1012 of 1024 channels)
      LEC calibration ADC offset only

- no clustering

- no multiplex timestamp correction

- no p+n junction side - n+n Ohmic side correlation time gates

- FEE64 *not* DSSSD strip ordering

- hardware - slow comparator setting p+n junction FEE64s 100keV, n+n Ohmic FEE64s 150keV

- LEC energy difference +/- 11200keV (wide open for first pass analysis)

- HEC energy difference +/- 1.68GeV

- valid LEC events

   p+n junction side multiplicity = 1 and n+n Ohmic side multiplicity = 1

   LEC energy > 151keV
    to select candidate beta  and alpha events - will include light ions
    standalone analysis of AIDA data, no downstream veto detector

- valid HEC events
   p+n junction side multiplicity > 0 and n+n Ohmic side multiplicity > 0

  (x,y) strips corresponding to maximum energy
  p+n junction and n+n Ohmic side HEC 

- HEC veto 
   p+n junction side multiplicity > 0 or n+n Ohmic side multiplicity > 0

- per pixel implant-decay correlations

- end of event 
   difference in WR timestamp between successive ADC data items > 2500 and overall event length < 33us




Number of events observed

 *** scaler # 1 count:  35941696 DSSSD#1 decay events       LEC m_p = 1 and LEC m_n = 1, ADC data > 151.2keV *and* HEC m_p = HEC m_n = 0, ADC data > 151.2MeV
 *** scaler # 2 count:  31960753 DSSSD#2 decay events       LEC m_p = 1 and LEC m_n = 1, ADC data > 151.2keV *and* HEC m_p = HEC m_n = 0, ADC data > 151.2MeV
 *** scaler # 3 count:   1499844 DSSSD#1 implant events     HEC m_p > 0 and HEC m_n > 0, ADC data > 151.2MeV
 *** scaler # 4 count:   1297356 DSSSD#2 implant events     HEC m_p > 0 and HEC m_n > 0, ADC data > 151.2MeV
 *** scaler # 5 count:   5446969 DSSSD#1 other events       HEC m_p > 0 or HEC m_n > 0 *and* LEC m_p > 8 or LEC m_n > 8
 *** scaler # 6 count:  75030747 DSSSD#2 other events       HEC m_p > 0 or HEC m_n > 0 *and* LEC m_p > 8 or LEC m_n > 8

DSSSD#1 implant events
x=m_p=0 ?
y=m_n=0 ?

DSSSD#2 implant events
x=m_p=0 ?
y=m_n=0 ?


Attachments 3-6 - per FEE64 LEC data item rates 268ms/channel - common x and y scales
 - no conditions
 - 150keV < energy < 1500keV
 - energy > 1500keV

Some deadtime observed on spill, none observed off spill. No significant variation in per FEE64 LEC data rates.

Attachments 7-8 - per FEE64 LEC hit patterns
 - 150keV < energy < 1500keV
 - energy > 1500keV

Aside from usual hot channels at cable/DSSSD boundaries good hit pattern observed. For > 1500keV events observe flat field in x-plane, focussed in y-plane.

Attachments 9-11 - per FEE64 HEC data item rates 268ms/channel - common x and y scales
 - no conditions
 - 100MeV < energy < 1000MeV
 - energy > 1000MeV

Note hot HEC channel in aida08 - should be disabled.

Attachment 12 - per DSSSD decay and implant rates 262us/channel - common x and y scales

Attachment 13 - per DSSSD LEC m_p versus m_n 
 - no conditions

Attachment 14 - per DSSSD LEC p strip versus n strip
 - no conditions

Attachments 15-16 - per DSSSD LEC E_p versus E_n - x and y-axes 20keV/channel
 - LEC energy difference +/- 2000 channels (+/- 11200keV)

Attachments 17-18 - per DSSSD p strip versus n strip
 - HEC-LEC time difference <1s
 - HEC-LEC time difference <100s

Attachment 19 -  per DSSSD HEC m_p versus m_n

Attachment 20 - per DSSSD HEC p strip versus n strip
 - no conditions
 - z_hec=1 => implant stops in DSSSD#1
 - z_hec=3 => implant hits DSSSD#1 and DSSSD#2 but does not necessarily stop in DSSSD#2

Attachment 21 - per DSSSD HEC E_p versus E_n - x and y axes 20MeV/channel

Attachment 22 - DSSSD#1 HEC E_p versus DSSSD#2 HEC E_p - x and y axes 20MeV/channel
 - few events stop in DSSSD#2
 - most events lower Z and A - fission fragments?

Attachment 23 - per DSSSD per pixel HEC-LEC time difference 4.096us/channel
 - events observed to <<100us

Attachment 24 - per DSSSD per pixel HEC-LEC time difference (1s/channel) versus LEC energy (20keV/channel)
 - alpha events long lived 
 - some evidence of effect of on spill deadtime in implant-decay correlations (cf. S100 and S505)

Attachment 25 - per DSSSD implant and decay event p strip - n strip time difference (2us/channel)
 - wider distribution for implant events as expected due to number of ASIC active channels in implant events
 - most decay events +/-2us - lower ASIC occupancy for decay events so most events will be from same (or adjacent) clock cycle
Attachment 1: R4_396.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  256709815 ( 1065042.5 Hz)
        Other data format:    5210185 (   21616.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        653 (       2.7 Hz)
                               RESUME:        653 (       2.7 Hz)
                              SYNC100:      32897 (     136.5 Hz)
                              WR48-63:      32897 (     136.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    5143085 (   21337.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     639531 (    2653.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      241.032 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.017                0.000
  2                0.000                0.000
  3                0.848                0.000
  4                0.000                0.000
  5                0.227                0.000
  6                0.000                0.000
  7                4.199                0.000
  8                0.000                0.000
  9                1.796                0.000
 10                0.020                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.823                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3315802       8090          0          0          0          0        430        430          0       7230          0       9958
  1    8650533       2148          0          0          3          3       1071       1071          0          0          0      24316
  2    7128165    1944546          0          0          0          0       1082       1082          0    1942382          0      12931
  3   24378546       6508          0          0        152        152       3102       3102          0          0          0      19563
  4    2929004     400994          0          0          0          0        424        424          0     400146          0      12707
  5   19313956       4950          0          0         45         45       2430       2430          0          0          0      22052
  6    5646509     333129          0          0          0          0        723        723          0     331683          0      12637
  7   62853684      16350          0          0        195        195       7980       7980          0          0          0     436912
  8    8105988       2098          0          0          0          0       1049       1049          0          0          0      14497
  9   45373582    1939538          0          0        183        183       6118       6118          0    1926936          0      14193
 10   14519870       3442          0          0         13         13       1708       1708          0          0          0       8558
 11    2400015     535424          0          0          0          0        358        358          0     534708          0      14419
 12    4828613       1128          0          0          0          0        564        564          0          0          0       8079
 13    7222020       1834          0          0          0          0        917        917          0          0          0      12852
 14    3474619        796          0          0          0          0        398        398          0          0          0       8899
 15   36568909       9210          0          0         62         62       4543       4543          0          0          0       6958
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   26.098s ( 1226.164 blocks/s,  76.635 Mb/s)
Attachment 2: R4_351.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  256848692 ( 1048248.2 Hz)
        Other data format:    5071308 (   20697.0 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        624 (       2.5 Hz)
                               RESUME:        624 (       2.5 Hz)
                              SYNC100:      32913 (     134.3 Hz)
                              WR48-63:      32913 (     134.3 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    5004234 (   20423.2 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     629529 (    2569.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      245.027 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.032                0.000
  2                0.000                0.000
  3                0.744                0.000
  4                0.000                0.000
  5                0.181                0.000
  6                0.000                0.000
  7                4.062                0.000
  8                0.000                0.000
  9                1.739                0.000
 10                0.001                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                1.087                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3260523       8194          0          0          0          0        422        422          0       7350          0       9200
  1    8620748       2224          0          0          6          6       1106       1106          0          0          0      21909
  2    7063739    1926312          0          0          0          0       1116       1116          0    1924080          0      12003
  3   24732934       6660          0          0        134        134       3196       3196          0          0          0      17638
  4    2925688     370692          0          0          0          0        405        405          0     369882          0      11429
  5   18974781       4842          0          0         34         34       2387       2387          0          0          0      20060
  6    5599469     300187          0          0          0          0        782        782          0     298623          0      11800
  7   63229156      16356          0          0        190        190       7988       7988          0          0          0     445010
  8    7941245       2048          0          0          0          0       1024       1024          0          0          0      13314
  9   45626532    1921841          0          0        173        173       5873       5873          0    1909749          0      13056
 10   14656402       3672          0          0          3          3       1833       1833          0          0          0       7698
 11    2320422     495242          0          0          0          0        346        346          0     494550          0      12993
 12    4691764       1142          0          0          0          0        571        571          0          0          0       7316
 13    6904909       1676          0          0          0          0        838        838          0          0          0      11812
 14    3448942        796          0          0          0          0        398        398          0          0          0       7967
 15   36851438       9424          0          0         84         84       4628       4628          0          0          0       6324
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   25.203s ( 1269.684 blocks/s,  79.355 Mb/s)
Attachment 3: Screenshot_from_2025-02-12_08-46-38.png
Screenshot_from_2025-02-12_08-46-38.png
Attachment 4: Screenshot_from_2025-02-12_08-49-32.png
Screenshot_from_2025-02-12_08-49-32.png
Attachment 5: Screenshot_from_2025-02-12_08-50-20.png
Screenshot_from_2025-02-12_08-50-20.png
Attachment 6: Screenshot_from_2025-02-12_08-51-24.png
Screenshot_from_2025-02-12_08-51-24.png
Attachment 7: Screenshot_from_2025-02-12_08-52-57.png
Screenshot_from_2025-02-12_08-52-57.png
Attachment 8: Screenshot_from_2025-02-12_08-53-59.png
Screenshot_from_2025-02-12_08-53-59.png
Attachment 9: Screenshot_from_2025-02-12_08-55-27.png
Screenshot_from_2025-02-12_08-55-27.png
Attachment 10: Screenshot_from_2025-02-12_08-56-03.png
Screenshot_from_2025-02-12_08-56-03.png
Attachment 11: Screenshot_from_2025-02-12_08-56-46.png
Screenshot_from_2025-02-12_08-56-46.png
Attachment 12: Screenshot_from_2025-02-12_08-59-46.png
Screenshot_from_2025-02-12_08-59-46.png
Attachment 13: Screenshot_from_2025-02-12_09-01-08.png
Screenshot_from_2025-02-12_09-01-08.png
Attachment 14: Screenshot_from_2025-02-12_09-01-49.png
Screenshot_from_2025-02-12_09-01-49.png
Attachment 15: Screenshot_from_2025-02-12_09-02-30.png
Screenshot_from_2025-02-12_09-02-30.png
Attachment 16: Screenshot_from_2025-02-12_09-03-06.png
Screenshot_from_2025-02-12_09-03-06.png
Attachment 17: Screenshot_from_2025-02-12_09-04-27.png
Screenshot_from_2025-02-12_09-04-27.png
Attachment 18: Screenshot_from_2025-02-12_09-05-33.png
Screenshot_from_2025-02-12_09-05-33.png
Attachment 19: Screenshot_from_2025-02-12_09-06-04.png
Screenshot_from_2025-02-12_09-06-04.png
Attachment 20: Screenshot_from_2025-02-12_09-06-54.png
Screenshot_from_2025-02-12_09-06-54.png
Attachment 21: Screenshot_from_2025-02-12_09-07-25.png
Screenshot_from_2025-02-12_09-07-25.png
Attachment 22: Screenshot_from_2025-02-12_09-08-02.png
Screenshot_from_2025-02-12_09-08-02.png
Attachment 23: Screenshot_from_2025-02-12_09-08-47.png
Screenshot_from_2025-02-12_09-08-47.png
Attachment 24: Screenshot_from_2025-02-12_09-10-29.png
Screenshot_from_2025-02-12_09-10-29.png
Attachment 25: Screenshot_from_2025-02-12_09-12-14.png
Screenshot_from_2025-02-12_09-12-14.png
  697   Fri Jan 31 17:26:47 2025 CC, TD, MPFriday 31 January contd.
18.18 bPlas and BB7 installs complete 
      Restart AIDA DAQ, Merger, Tape Server and re-test

      per p+n FEE64 1.8.L spectra - attachment 1
       aida09 pulser peak width 69 ch FWHM = 42keV FWHM

      per FEE64 Rate Spectra - attachment 2
       BB18 p+n FEE64s very good/good, n+n FEE64s OK - could be improved
       BB7 aida10 p+n asics good, n+n asics 1x good, 1x OK

      per FEE64 1.8.W spectra - 20us FSR - attachments 3-5

      ADC data item stats - attachment 6
       aida01  aida03 30k, all other BB18 p+n FEE64s < 20k, n+n FEE64s 100/270k
       BB7 aida10 19k

      WR timestamps OK - attachment 7

      Merger, TapeSever etc - attachments 8-9
       disk directpry /TapeData/FEB25
       working OK
     
      DSSSD bias & leakage current OK - attachment 10

      System wide checks OK - attachment 11-15
       note global clock status 6 errors reported earlier today have now gone

      FEE64 temperatures OK - attachment 16

18.38 Transition to safe state

      DAQ STOP
      disable data transfer 1
      detector bias OFF
      FEE64 power OFF


      Can restart as follows

1) FEE64 power ON
2) DAQ RESET
3) DAQ SETUP
4) Enable histogramming
5) Enable waveforms
6) Detector bias ON
7) Restore ASIC settings
8) ASIC Control
9) FEE64 temperatures
10) System wide checks
     sync ASIC clocks
11) FADC control - calibrate ADCS for *all* FEE64s
12) System wide checks contd.
13) DAQ GO
14) Check ADC data item stats
15) Check WR timestamps

If all OK can re-connect to Merger/TapeServer as follows

1) DAQ STOP
2) enable data transfer 1
3) DAQ GO


Can disconnect from Merger/TapeServer as follows

1) DAQ STOP
2) disable data transfer 1
   
Attachment 1: Screenshot_from_2025-01-31_18-18-17.png
Screenshot_from_2025-01-31_18-18-17.png
Attachment 2: Screenshot_from_2025-01-31_18-19-19.png
Screenshot_from_2025-01-31_18-19-19.png
Attachment 3: Screenshot_from_2025-01-31_18-19-37.png
Screenshot_from_2025-01-31_18-19-37.png
Attachment 4: Screenshot_from_2025-01-31_18-20-04.png
Screenshot_from_2025-01-31_18-20-04.png
Attachment 5: Screenshot_from_2025-01-31_18-20-30.png
Screenshot_from_2025-01-31_18-20-30.png
Attachment 6: Screenshot_from_2025-01-31_18-20-42.png
Screenshot_from_2025-01-31_18-20-42.png
Attachment 7: Screenshot_from_2025-01-31_18-21-01.png
Screenshot_from_2025-01-31_18-21-01.png
Attachment 8: Screenshot_from_2025-01-31_18-25-58.png
Screenshot_from_2025-01-31_18-25-58.png
Attachment 9: Screenshot_from_2025-01-31_18-26-13.png
Screenshot_from_2025-01-31_18-26-13.png
Attachment 10: Screenshot_from_2025-01-31_18-29-38.png
Screenshot_from_2025-01-31_18-29-38.png
Attachment 11: Screenshot_from_2025-01-31_18-29-52.png
Screenshot_from_2025-01-31_18-29-52.png
Attachment 12: Screenshot_from_2025-01-31_18-29-58.png
Screenshot_from_2025-01-31_18-29-58.png
Attachment 13: Screenshot_from_2025-01-31_18-30-06.png
Screenshot_from_2025-01-31_18-30-06.png
Attachment 14: Screenshot_from_2025-01-31_18-30-12.png
Screenshot_from_2025-01-31_18-30-12.png
Attachment 15: Screenshot_from_2025-01-31_18-30-30.png
Screenshot_from_2025-01-31_18-30-30.png
Attachment 16: Screenshot_from_2025-01-31_18-30-48.png
Screenshot_from_2025-01-31_18-30-48.png
  696   Fri Jan 31 13:48:29 2025 JBAIDA analysis from HI-DESPEC meeting 20.11.2024

AIDA analysis and presentation by J. Bormans for AIDA made in the HISPEC-DESPEC collaboration meeting.

 

https://docs.google.com/presentation/d/1hlZ30r294UqbVKGy3jg-wompFpc7TSfD/edit?usp=sharing&ouid=102131181856760114019&rtpof=true&sd=true

  695   Fri Jan 31 09:37:47 2025 CC, TD, MPFriday 31 January
Implantation stack mounted - BB18(DS)-1000 + bPlas + bPlas + 3x BB7(DS)-1000

N.B. aida02, aida09 & aida15 have grounded copper screen (3M 1245 - aluminimum braid to copper screen of ribbon cables) for Kapton PCBs connecting the Samtec ribbon cables to the BB18 DSSSD.

n+n FEE64s aida02, aida04, aida06 and aida08 LK1 fitted
p+n FEE64s aida03 and aida07 LK3 fitted

BB7 aida10 (asics #1-2 p+n, #3-4 n+n)

BB18 p+n FEE64s 9-15, 1-3, 5-12 - n+n FEE64s 2-4 (L-R looking downstream) 

10.30 FEE64 power ON

      DSSSD bias ON (BB18 only) - attachment 1
       leakage current OK

      ASIC settings 2024Dec13-17.02.45 restored
       p+n FEE64 slow comparator 0xa, n+n FEE64 slow comparator 0xf

      Attachments 2-7 WR timestamp aida05 & aida12, system wide check
       aida12 & aida05 global clock status 6 - to be checked - OK for initial tests without merger
       WR decoder status aida02

      per FEE64 Rate spectra - attachment 8
       aida10 high rate - BB7 not biased
       rates OK for p+n FEE64s, rates high for n+n FEE64s - to be checked
       BNC PB-5 pulser ON to p+n FEE64s - no obvious adaptor PCB misalignments

      ADC data item stats - attachment 9
       rates generally OK
       aida10 high - BB7 not biased
       aida02 & aida04 n+n FEE64s - rates high - to be checked when bPlas install complete
       all p+n FEE64s connected to BB18 <10K except aida05 25k - very good!

      BNC PB-5 settings - attachment 10

      WR timestamps OK - attachment 11

      FEE64 temperatures OK - attachment 12

12.15 bPlas driver PCB installed, bPlas cabling and grounds *not* connected yet

      ADC data item stats - attachment 13
       all rates higher cf. attachment 9

      per FEE64 Rate spectra - attachment 14

      per p+n FEE64 1.8.L spectra - attachment 15
       aida09 pulser peak width 69 ch FWHM = 49keV FWHM 
       aida14 pulser peak width 50 ch FWHM = 35keV FWHM

      per p+n FEE64 1.8.W spectra - 20us FSR - attachments 16-17

      per n+n FEE64 1.8W spectra - 20us FSR - attachment 18

      DSSSD bias & leakage current OK - attachment 19
       ambient temperature +21.6 deg C, d.p. +0.4 deg C, RH 24.4%

      FEE64 temperatures OK - attachment 20

      DSSSD bias volatge & leakage current OK - attachment 21
       CAEN N1419ET ch#0 BB18, ch#1 BB7

      Install of bPlas drivers, cabling, grounds complete

      ADC data item stats - attachment 22
       
      per FEE64 Rate spectra - attachment 23
       all BB18 p+n FEE64s *except* aida05 show very low rates of noise

      per p+n FEE64 1.8.L spectra - attachment 24
       aida09 pulser peak width 62 ch FWHM = 42keV FWHM
       BB18 p+n FEE64s better electronic noise cf. p+n FEE64s not connected to a DSSSD

      per FEE64 1.8.W spectra - 20us FSR - attachments 25-26
Attachment 1: Screenshot_from_2025-01-31_10-39-16.png
Screenshot_from_2025-01-31_10-39-16.png
Attachment 2: Screenshot_from_2025-01-31_10-58-48.png
Screenshot_from_2025-01-31_10-58-48.png
Attachment 3: Screenshot_from_2025-01-31_10-58-59.png
Screenshot_from_2025-01-31_10-58-59.png
Attachment 4: Screenshot_from_2025-01-31_10-59-11.png
Screenshot_from_2025-01-31_10-59-11.png
Attachment 5: Screenshot_from_2025-01-31_10-59-11.png
Screenshot_from_2025-01-31_10-59-11.png
Attachment 6: Screenshot_from_2025-01-31_10-59-52.png
Screenshot_from_2025-01-31_10-59-52.png
Attachment 7: Screenshot_from_2025-01-31_11-00-02.png
Screenshot_from_2025-01-31_11-00-02.png
Attachment 8: Screenshot_from_2025-01-31_11-17-59.png
Screenshot_from_2025-01-31_11-17-59.png
Attachment 9: Screenshot_from_2025-01-31_11-18-43.png
Screenshot_from_2025-01-31_11-18-43.png
Attachment 10: Screenshot_from_2025-01-31_11-18-50.png
Screenshot_from_2025-01-31_11-18-50.png
Attachment 11: Screenshot_from_2025-01-31_11-21-05.png
Screenshot_from_2025-01-31_11-21-05.png
Attachment 12: Screenshot_from_2025-01-31_11-21-29.png
Screenshot_from_2025-01-31_11-21-29.png
Attachment 13: Screenshot_from_2025-01-31_12-21-09.png
Screenshot_from_2025-01-31_12-21-09.png
Attachment 14: Screenshot_from_2025-01-31_12-21-55.png
Screenshot_from_2025-01-31_12-21-55.png
Attachment 15: Screenshot_from_2025-01-31_12-26-26.png
Screenshot_from_2025-01-31_12-26-26.png
Attachment 16: Screenshot_from_2025-01-31_12-30-13.png
Screenshot_from_2025-01-31_12-30-13.png
Attachment 17: Screenshot_from_2025-01-31_12-30-34.png
Screenshot_from_2025-01-31_12-30-34.png
Attachment 18: Screenshot_from_2025-01-31_12-31-25.png
Screenshot_from_2025-01-31_12-31-25.png
Attachment 19: Screenshot_from_2025-01-31_12-41-33.png
Screenshot_from_2025-01-31_12-41-33.png
Attachment 20: Screenshot_from_2025-01-31_12-42-08.png
Screenshot_from_2025-01-31_12-42-08.png
Attachment 21: Screenshot_from_2025-01-31_15-59-26.png
Screenshot_from_2025-01-31_15-59-26.png
Attachment 22: Screenshot_from_2025-01-31_16-07-21.png
Screenshot_from_2025-01-31_16-07-21.png
Attachment 23: Screenshot_from_2025-01-31_16-07-31.png
Screenshot_from_2025-01-31_16-07-31.png
Attachment 24: Screenshot_from_2025-01-31_16-08-39.png
Screenshot_from_2025-01-31_16-08-39.png
Attachment 25: Screenshot_from_2025-01-31_16-10-48.png
Screenshot_from_2025-01-31_16-10-48.png
Attachment 26: Screenshot_from_2025-01-31_16-11-30.png
Screenshot_from_2025-01-31_16-11-30.png
  694   Wed Jan 15 11:58:08 2025 TDOffline analysis data files S505 R3_9 - R3_128 (207Hg setting)
Aanlysis data files R3_9 - R3_128 (207Hg setting)

Data file R3_9 first WR ts 0x16FB110A37376D88
GMT: Wednesday, June 22, 2022 10:13:43.562 PM
Your time zone: Wednesday, June 22, 2022 11:13:43.562 PM GMT+01:00 DST

Data file R3_69 first WR ts 0x16FB2286FAD71896
GMT: Thursday, June 23, 2022 3:34:11.118 AM
Your time zone: Thursday, June 23, 2022 4:34:11.118 AM GMT+01:00 DST

Data file R3_128 first WR ts 0x16FB35DF179BD866
GMT: Thursday, June 23, 2022 9:28:40.278 AM
Your time zone: Thursday, June 23, 2022 10:28:40.278 AM GMT+01:00 DST

Attachments 1-3 - analysis data files R3_9, R_69, and R3_128
 max. *time averaged* deadtime FEE64 #1 (aida02) 15.7%, 8.6% and 15.6% respectively
 all other FEE64 deadtimes < 2%


 *** scaler( 1):            120442206  DSSSD#1 decay events       LEC m_p = 1 and LEC m_n = 1, 151.2keV < ADC data < 1008keV *and* HEC m_p = HEC m_n = 0, ADC data > 151.2MeV
 *** scaler( 2):             51126024  DSSSD#2 decay events       LEC m_p = 1 and LEC m_n = 1, 151.2keV < ADC data < 1008keV *and* HEC m_p = HEC m_n = 0, ADC data > 151.2MeV
 *** scaler( 3):              5630250  DSSSD#1 implant events     HEC m_p > 0 and HEC m_n > 0, ADC data > 151.2MeV
 *** scaler( 4):              4983984  DSSSD#2 implant events     HEC m_p > 0 and HEC m_n > 0, ADC data > 151.2MeV
 *** scaler( 5):             42439339  DSSSD#1 other events       HEC m_p > 0 or HEC m_n > 0 *and* LEC m_p > 8 or LEC m_n > 8
 *** scaler( 6):             22316289  DSSSD#2 other events       HEC m_p > 0 or HEC m_n > 0 *and* LEC m_p > 8 or LEC m_n > 8
 *** scaler( 7):                    0
 *** scaler( 8):                    0
 *** scaler( 9):                    0
 *** scaler(10):           2464294601  FEE64 #1 LEC data items
 *** scaler(11):           7641292106
 *** scaler(12):           3547670559
 *** scaler(13):           6144404472
 *** scaler(14):            637262222
 *** scaler(15):           2302716728
 *** scaler(16):           4511293677
 *** scaler(17):           3857002960  FEE64 #8 LEC data items
 *** scaler(18):                    0
 *** scaler(19):                    0
 *** scaler(20):              7697923  FEE64 #1 HEC data items
 *** scaler(21):              7169894
 *** scaler(22):             10028407
 *** scaler(23):              3045622
 *** scaler(24):              4246633
 *** scaler(25):              5564911
 *** scaler(26):              7196158
 *** scaler(27):              1960591  FEE64 #8 HEC data items
 *** scaler(28):                    0
 *** scaler(29):                    0
 *** scaler(30):                    0
 *** scaler(31):                    0
 *** scaler(32):                    0

DSSSD#1 implant events
x=m_p=0 1334587
y=m_n=0 4860983

DSSSD#2 implant events
x=m_p=0 1159736
y=m_n=0 2757735


Attachment 4 - per DSSSD LEC E_p versus E_n - x and y-axes 20keV/channel
 - LEC energy difference +/- 2000 channels (+/- 11200keV)
 - Do not observe the off-axis energy correlations observed in the postrun background/calibration runs
 - Do observe expected inter strip charge sharing and charge sharing at DSSSD active area boundary

All subsequent spectra LEC energy difference +/-20 channels (+/- 112keV)

Attachments 5-7 - per FEE64 LEC data item rates (Hz) 268ms/channel - common x and y scales
 - no conditions
 - 150keV < energy < 1500keV
 - energy > 1500keV
Note structure in > 1500keV data not restricted to on spill

Attachments 8-10 - per FEE64 HEC data item rates (Hz) 268ms/channel - common x and y scales
 - no conditions
 - 100MeV < energy < 1000MeV
 - energy > 1000MeV

Attachment 11 per DSSSD decay and implant rates (Hz) 268ms/channel =- common x and y scales
 - significant on spill deadtime for DSSSD#1

Attachment 12 - per DSSSD LEC m_p versus m_n 
 - no conditions
 - z_hec=1 (DSSSD#1) and z_hec=3 (DSSSD#2)

Attachment 13 - per DSSSD LEC p strip versus n strip

Attachment 14 - per DSSSD LEC E_p versus E_n - x and y axes 20keV/channel

Attachment 15 - per DSSSD per pixel time difference between successive LEC events (4.096us/channel)
 - minimum time difference c. 4us

Attachment 16 -  per DSSSD HEC m_p versus m_n
 - many more m=0 observed cf. RIKEN data e.g. https://elog.ph.ed.ac.uk/AIDA/583
 - more low energy HEC events?

Attachment 17 - per DSSSD HEC p strip versus n strip
 - no conditions
 - z_hec=1 (DSSSD#1) and z_hec=3 (DSSSD#2)
 - z_hec=1 => implant stops in DSSSD#1
 - z_hec=3 => implant hits DSSSD#1 and DSSSD#2 but does not necessarily stop in DSSSD#2

Attachment 18 - per DSSSD HEC E_p versus E_n - x and y axes 20MeV/channel

Attachment 19 - DSSSD#1 HEC E_p versus DSSSD#2 E_p - x and y axes 20MeV/channel

Attachment 20 - DSSSD#1 LEC E_p versus DSSSD#2 E_p - x and y axes 20keV/channel
 - y=0 12816818
 - x=0 7374435
 - x>0 and y>0 2295693
 
Attachment 21 - per DSSSD per pixel time difference between successive HEC events (4.096us/channel)
 - minimum time difference c. 36us which make sense - c. readout time of ASIC with all 16 channels active
 - origin of 9 channel period spectrum structure? 

Attachments 22-23 - per DSSSD per pixel time difference between HEC and LEC events (4.096us/channel)
Attachments 24 - per DSSSD per pixel time difference between HEC and LEC events (1s/channel)
 - for ions stopped in DSSSD#1 or DSSSD'2 - no PID selection
 - minimum time difference c. 88us
 - effect of high on spill deadtime apparent per S100 analysis

Attachment 25 - per DSSSD per pixel time difference between HEC and LEC events (1s/channel) versus
 - HEC energy
 - LEC energy
 - p and n strip

Attachment 26 - per DSSSD implant and decay event p strip - n strip time difference (2us/channel)
 - wider distribution for implant events expected due to number of ASIC active channels in implant events
 - most decay events +/-2us - lower ASIC occupancy for decay events so most events will be from same (or adjacent) clock cycle

Attachment 27 - per DSSSD decay event p strip - n strip time difference (2us/channel) versus decay (E_p - E_n) (20keV channel) 
Attachment 1: R3_9.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      20656
          ADC data format:  167972075 (  847983.1 Hz)
        Other data format:    1097285 (    5539.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        794 (       4.0 Hz)
                               RESUME:        793 (       4.0 Hz)
                              SYNC100:      21394 (     108.0 Hz)
                              WR48-63:      21394 (     108.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1052910 (    5315.5 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     290405 (    1466.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      198.084 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.300                0.000
  1               31.132                0.000
  2                0.869                0.000
  3                3.536                0.000
  4                0.001                0.000
  5                0.108                0.000
  6                0.157                0.000
  7                0.073                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13716339      17472          0          0         25         25       1820       1820          0      13782          0      46978
  1   39159612      10957          0          0        457        456       5022       5022          0          0          0      45173
  2   20693073     268290          0          0         53         53       2641       2641          0     262902          0      61677
  3   33985341     266701          0          0        182        182       4320       4320          0     257697          0      18991
  4    3620137        906          0          0          1          1        452        452          0          0          0      26130
  5   12686167       3294          0          0         28         28       1619       1619          0          0          0      34215
  6   22242523     257563          0          0         28         28       2791       2791          0     251925          0      44896
  7   21868883     272102          0          0         20         20       2729       2729          0     266604          0      12345
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   15.047s ( 1372.777 blocks/s,  85.799 Mb/s)
Attachment 2: R3_128.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260500382 (  683029.0 Hz)
        Other data format:    1419618 (    3722.2 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        995 (       2.6 Hz)
                               RESUME:        995 (       2.6 Hz)
                              SYNC100:      33421 (      87.6 Hz)
                              WR48-63:      33421 (      87.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1350786 (    3541.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     304165 (     797.5 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      381.390 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                7.726                0.000
  1               32.620                0.000
  2                0.268                0.000
  3                2.352                0.000
  4                0.000                0.000
  5                0.018                0.000
  6                0.191                0.000
  7                0.007                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   27020397      33470          0          0        171        171       3538       3538          0      26052          0      72108
  1   70509491      19838          0          0        649        649       9270       9270          0          0          0      43322
  2   25595248     343140          0          0         48         48       3306       3306          0     336432          0      47964
  3   43087191     344986          0          0         74         74       5493       5493          0     333852          0      26057
  4    4643105       1204          0          0          0          0        602        602          0          0          0      28001
  5   18101121       4580          0          0          9          9       2281       2281          0          0          0      30316
  6   41488735     327203          0          0         39         39       5131       5131          0     316863          0      41967
  7   30055094     345197          0          0          5          5       3800       3800          0     337587          0      14430
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   26.207s ( 1221.046 blocks/s,  76.315 Mb/s)
Attachment 3: R3_69.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260136556 (  817109.0 Hz)
        Other data format:    1783444 (    5601.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1193 (       3.7 Hz)
                               RESUME:       1193 (       3.7 Hz)
                              SYNC100:      33186 (     104.2 Hz)
                              WR48-63:      33186 (     104.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1714686 (    5386.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     465695 (    1462.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      318.362 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.277                0.000
  1               49.537                0.000
  2                0.739                0.000
  3                6.304                0.000
  4                0.000                0.000
  5                0.184                0.000
  6                0.280                0.000
  7                0.034                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   21719834      27795          0          0         49         49       2783       2783          0      22131          0      76464
  1   62193949      17584          0          0        707        707       8085       8085          0          0          0      68411
  2   30630417     438786          0          0         77         77       3925       3925          0     430782          0     101110
  3   52568475     429487          0          0        262        262       6724       6724          0     415515          0      29662
  4    5873809       1474          0          0          0          0        737        737          0          0          0      42098
  5   20399531       5188          0          0         27         27       2567       2567          0          0          0      56158
  6   36994221     420854          0          0         55         55       4590       4590          0     411564          0      72312
  7   29756320     442276          0          0         16         16       3775       3775          0     434694          0      19480
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   23.504s ( 1361.476 blocks/s,  85.092 Mb/s)
Attachment 4: Screenshot_from_2025-01-14_16-54-08.png
Screenshot_from_2025-01-14_16-54-08.png
Attachment 5: Screenshot_from_2025-01-17_08-18-33.png
Screenshot_from_2025-01-17_08-18-33.png
Attachment 6: Screenshot_from_2025-01-17_08-19-43.png
Screenshot_from_2025-01-17_08-19-43.png
Attachment 7: Screenshot_from_2025-01-17_08-20-32.png
Screenshot_from_2025-01-17_08-20-32.png
Attachment 8: Screenshot_from_2025-01-17_08-21-17.png
Screenshot_from_2025-01-17_08-21-17.png
Attachment 9: Screenshot_from_2025-01-17_08-21-58.png
Screenshot_from_2025-01-17_08-21-58.png
Attachment 10: Screenshot_from_2025-01-17_08-22-35.png
Screenshot_from_2025-01-17_08-22-35.png
Attachment 11: Screenshot_from_2025-01-17_08-23-39.png
Screenshot_from_2025-01-17_08-23-39.png
Attachment 12: Screenshot_from_2025-01-17_08-24-53.png
Screenshot_from_2025-01-17_08-24-53.png
Attachment 13: Screenshot_from_2025-01-17_08-25-25.png
Screenshot_from_2025-01-17_08-25-25.png
Attachment 14: Screenshot_from_2025-01-17_08-25-57.png
Screenshot_from_2025-01-17_08-25-57.png
Attachment 15: Screenshot_from_2025-01-17_08-27-02.png
Screenshot_from_2025-01-17_08-27-02.png
Attachment 16: Screenshot_from_2025-01-17_08-27-34.png
Screenshot_from_2025-01-17_08-27-34.png
Attachment 17: Screenshot_from_2025-01-17_08-28-03.png
Screenshot_from_2025-01-17_08-28-03.png
Attachment 18: Screenshot_from_2025-01-17_08-28-48.png
Screenshot_from_2025-01-17_08-28-48.png
Attachment 19: Screenshot_from_2025-01-17_08-29-18.png
Screenshot_from_2025-01-17_08-29-18.png
Attachment 20: Screenshot_from_2025-01-17_08-29-49.png
Screenshot_from_2025-01-17_08-29-49.png
Attachment 21: Screenshot_from_2025-01-17_08-31-27.png
Screenshot_from_2025-01-17_08-31-27.png
Attachment 22: Screenshot_from_2025-01-17_08-31-57.png
Screenshot_from_2025-01-17_08-31-57.png
Attachment 23: Screenshot_from_2025-01-17_08-32-31.png
Screenshot_from_2025-01-17_08-32-31.png
Attachment 24: Screenshot_from_2025-01-17_08-33-29.png
Screenshot_from_2025-01-17_08-33-29.png
Attachment 25: Screenshot_from_2025-01-17_08-34-12.png
Screenshot_from_2025-01-17_08-34-12.png
Attachment 26: Screenshot_from_2025-01-17_08-35-12.png
Screenshot_from_2025-01-17_08-35-12.png
Attachment 27: Screenshot_from_2025-01-17_08-36-00.png
Screenshot_from_2025-01-17_08-36-00.png
  693   Mon Jan 13 17:38:18 2025 TDOffline analysis of S505 data files
First timestamp of R2_105 0x16FAEC5E54AABF6C

GMT: Wednesday, June 22, 2022 11:01:42.903 AM
Your time zone: Wednesday, June 22, 2022 12:01:42.903 PM GMT+01:00 DST


First timestamp of R3_150 0x16FB3CE72ED53CB6

GMT: Thursday, June 23, 2022 11:37:31.609 AM
Your time zone: Thursday, June 23, 2022 12:37:31.609 PM GMT+01:00 DST


First timestamp of R5_35 0x16FB89F33F9B44E2

GMT: Friday, June 24, 2022 11:09:25.825 AM
Your time zone: Friday, June 24, 2022 12:09:25.825 PM GMT+01:00 DST


First timestamp of R5_299 0x16FBD8CC5DCBE912

GMT: Saturday, June 25, 2022 11:14:20.247 AM
Your time zone: Saturday, June 25, 2022 12:14:20.247 PM GMT+01:00 DST


First timestamp of R5_546 0x16FC220EA8ADB132

GMT: Sunday, June 26, 2022 9:36:49.320 AM
Your time zone: Sunday, June 26, 2022 10:36:49.320 AM GMT+01:00 DST

Attachments 1-5 - analysis of data files R2_105, R3_150, R5_35, R5_299, and R5_536 (c. one data file per day of S505)
 FEE64 #1 (aida02) *time averaged* deadtime c. 12-18%, deadtime of all other FEE64s much lower

Attachments 6-10 - per DSSSD LEC p+n junction versus n+n Ohmic energy - X & y-axes 20keV/channel

No evidence of off-axis energy correlation observed in the postrun background/calibration runs - see https://elog.ph.ed.ac.uk/DESPEC/670
Attachment 1: R2_105.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260590543 (  842959.4 Hz)
        Other data format:    1329457 (    4300.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1451 (       4.7 Hz)
                               RESUME:       1452 (       4.7 Hz)
                              SYNC100:      33151 (     107.2 Hz)
                              WR48-63:      33151 (     107.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1260252 (    4076.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     505847 (    1636.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      309.138 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                5.956                0.000
  1               46.000                0.000
  2                0.461                0.000
  3                4.075                0.000
  4                0.270                0.000
  5               17.246                0.000
  6                0.939                0.000
  7                0.452                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   27494985      28858          0          0        175        175       3688       3688          0      21132          0     102223
  1   58260423      16474          0          0        649        649       7588       7588          0          0          0      63621
  2   22639873     322607          0          0         55         55       2892       2892          0     316713          0      62482
  3   44542964     319461          0          0        153        154       5539       5539          0     308076          0      35450
  4   10779382       2700          0          0         51         51       1299       1299          0          0          0      87763
  5   28594260       7632          0          0        237        237       3579       3579          0          0          0      64742
  6   38115917     307658          0          0         84         84       4822       4822          0     297846          0      61385
  7   30162739     324067          0          0         47         47       3744       3744          0     316485          0      28181
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   25.383s ( 1260.696 blocks/s,  78.793 Mb/s)
Attachment 2: R3_150.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260385271 (  829605.5 Hz)
        Other data format:    1534729 (    4889.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1425 (       4.5 Hz)
                               RESUME:       1425 (       4.5 Hz)
                              SYNC100:      33170 (     105.7 Hz)
                              WR48-63:      33170 (     105.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1465539 (    4669.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     455452 (    1451.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      313.866 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0               11.666                0.000
  1               57.289                0.000
  2                0.649                0.000
  3                5.611                0.000
  4                0.000                0.000
  5                0.063                0.000
  6                0.228                0.000
  7                0.039                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   31441805      29767          0          0        272        272       4062       4062          0      21099          0     112151
  1   66582904      18892          0          0        814        814       8632       8632          0          0          0      66555
  2   29895404     376545          0          0         84         84       3879       3879          0     368619          0      73144
  3   44568333     369126          0          0        168        168       5484       5484          0     357822          0      38819
  4    5095090       1256          0          0          0          0        628        628          0          0          0      37415
  5   19329369       4936          0          0         23         23       2445       2445          0          0          0      43894
  6   36823085     356594          0          0         50         50       4631       4631          0     347232          0      63334
  7   26649281     377613          0          0         14         14       3409       3409          0     370767          0      20140
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   23.836s ( 1342.511 blocks/s,  83.907 Mb/s)
Attachment 3: R5_35.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260812842 (  842072.4 Hz)
        Other data format:    1107160 (    3574.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1210 (       3.9 Hz)
                               RESUME:       1210 (       3.9 Hz)
                              SYNC100:      33154 (     107.0 Hz)
                              WR48-63:      33154 (     107.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1038432 (    3352.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     329194 (    1062.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      309.727 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                8.188                0.000
  1               36.773                0.000
  2                0.176                0.000
  3                5.170                0.000
  4                0.000                0.000
  5                0.025                0.000
  6                0.202                0.000
  7                0.307                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   31379366      29596          0          0        190        190       4114       4114          0      20988          0      81881
  1   59749297      16628          0          0        605        605       7709       7709          0          0          0      51815
  2   19396332     265009          0          0         42         42       2411       2411          0     260103          0      52064
  3   41019315     263381          0          0        149        149       5195       5195          0     252693          0      27341
  4    4941643       1144          0          0          0          0        572        572          0          0          0      26539
  5   17311877       4276          0          0         10         10       2128       2128          0          0          0      31104
  6   41432200     255213          0          0         55         55       5294       5294          0     244515          0      44402
  7   45582812     271913          0          0        159        159       5731       5731          0     260133          0      14048
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   25.660s ( 1247.070 blocks/s,  77.942 Mb/s)
Attachment 4: R5_299.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260747119 (  773887.2 Hz)
        Other data format:    1172883 (    3481.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1133 (       3.4 Hz)
                               RESUME:       1133 (       3.4 Hz)
                              SYNC100:      33256 (      98.7 Hz)
                              WR48-63:      33256 (      98.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1104105 (    3276.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     362597 (    1076.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      336.932 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                6.724                0.000
  1               44.641                0.000
  2                0.217                0.000
  3                3.561                0.000
  4                0.000                0.000
  5                0.005                0.000
  6                0.223                0.000
  7                0.026                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   30674116      31250          0          0        181        181       3927       3927          0      23034          0      91358
  1   66153113      18368          0          0        695        695       8489       8489          0          0          0      55771
  2   19486494     277818          0          0         42         42       2475       2475          0     272784          0      57181
  3   43424107     279556          0          0        135        135       5543       5543          0     268200          0      30417
  4    4766466       1174          0          0          0          0        587        587          0          0          0      29048
  5   17680210       4568          0          0          5          5       2279       2279          0          0          0      34196
  6   42628036     277520          0          0         57         57       5446       5446          0     266514          0      49215
  7   35934577     282629          0          0         18         18       4510       4510          0     273573          0      15411
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   25.258s ( 1266.935 blocks/s,  79.183 Mb/s)
Attachment 5: R5_546.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260730517 (  745151.9 Hz)
        Other data format:    1189483 (    3399.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1144 (       3.3 Hz)
                               RESUME:       1144 (       3.3 Hz)
                              SYNC100:      33304 (      95.2 Hz)
                              WR48-63:      33304 (      95.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1120587 (    3202.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     363968 (    1040.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      349.902 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                6.803                0.000
  1               41.799                0.000
  2                0.301                0.000
  3                3.174                0.000
  4                0.000                0.000
  5                0.022                0.000
  6                0.164                0.000
  7                0.019                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   29065664      31620          0          0        182        182       3682       3682          0      23892          0      90087
  1   70265931      19858          0          0        719        719       9210       9210          0          0          0      57153
  2   19423777     282104          0          0         54         54       2512       2512          0     276972          0      57467
  3   44758741     285792          0          0        125        125       5782       5782          0     273978          0      30202
  4    4551567       1158          0          0          0          0        579        579          0          0          0      29037
  5   17745398       4496          0          0         12         12       2236       2236          0          0          0      34556
  6   43164773     278410          0          0         42         42       5399       5399          0     267528          0      49766
  7   31754666     286045          0          0         10         10       3904       3904          0     278217          0      15700
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   24.879s ( 1286.230 blocks/s,  80.389 Mb/s)
Attachment 6: R2_105.png
R2_105.png
Attachment 7: R3_150.png
R3_150.png
Attachment 8: R5_35.png
R5_35.png
Attachment 9: R5_299.png
R5_299.png
Attachment 10: R5_536.png
R5_536.png
  692   Mon Jan 13 11:32:30 2025 TDOffline analysis S505 data file R3_150
Offline analysis of S505 data files R3_150

first WR ts
First timestamp of R3_150 0x16FB3CE72ED53CB6

Epoch converter says ...

GMT: Thursday, June 23, 2022 11:37:31.609 AM
Your time zone: Thursday, June 23, 2022 12:37:31.609 PM GMT+01:00 DST

last WR ts
First timestamp of R3_151 0x16FB3D3042B9E8E6

Epoch converter says ...

GMT: Thursday, June 23, 2022 11:42:45.475 AM
Your time zone: Thursday, June 23, 2022 12:42:45.475 PM GMT+01:00 DST

Analysis of data file R3_150 - attachment 1
 max time averaged deadtime FEE64 #1 (aida02) 18.3%



FEE64 configuration

FEE64   a  
      b    d
        c

         a  b  c  d 
DSSSD#1  3  4  1  2
DSSSD#2  7  8  5  6

n+n Ohmic FEE64s 2, 4, 6, 8

Data analysis assumes

- all LEC ADC data channels with valid ADC offset included (474 of 512 channels)
      LEC calibration ADC offset only

- no clustering

- no multiplex timestamp correction

- no p+n junction side - n+n Ohmic side correlation time gates

- FEE64 *not* DSSSD strip ordering

- hardware - slow comparator setting p+n junction FEE64s 100keV, n+n Ohmic FEE64s 100keV

- LEC energy difference +/-168keV

- HEC energy difference +/- 1.68GeV

- valid LEC events

   DSSSD #1
   p+n junction side multiplicity = 1 and n+n Ohmic side multiplicity = 1
   DSSSD #2
   p+n junction side multiplicity = 1 and n+n Ohmic side multiplicity = 1

   151keV < LEC energy < 1008keV
    to select candidate beta events and veto higher energy events e.g. light ions
    standalone analysis of AIDA data, no downstream veto detector

- valid HEC events
   p+n junction side multiplicity > 0 and n+n Ohmic side multiplicity > 0

  (x,y) strips corresponding to maximum energy
  p+n junction and n+n Ohmic side HEC 

- HEC veto 
   p+n junction side multiplicity > 0 or n+n Ohmic side multiplicity > 0

- per pixel implant-decay correlations

- end of event 
   difference in WR timestamp between successive ADC data items > 2500 *or* difference in first and last WR timestamp of event < 33us


Attachment 2 per FEE64 LEC data rate (Hz) 268ms/channel
Attachment 3 per FEE64 LEC data rate (Hz) 268ms/channel: 150keV < energy < 1500keV
Attachment 22 per FEE64 LEC data rate (Hz) 268ms/channel: energy > 1500keV

- observe high instantaneous rate on spill
- rate dominated by low energy (<1500keV) events
- rate of higher energy events dominated by on spill events i.e. light ions as expected
- significant deadtime on spill for n+n Omic FEE64 aida02, low deadtime off spill
- deadtime low/zero for all other FEE64s on/off spill

Attachment 4 per FEE64 HEC data rate (Hz) 268ms/channel
Attachment 5 per FEE64 HEC data rate (Hz) 268ms/channel: 100MeV < energy < 1000MeV
Attachment 6 per FEE64 HEC data rate (Hz) 268ms/channel: energy > 1000MeV

- all HEC events on spill as expected
- significant deadtime on spill for n+n Ohmic FEE64 aida02, low deadtime off spill
- deadtime low/zero for all other FEE64s on/off spill


Attachment 7 per DSSSD decay and implant rate (Hz) 268ms/channel

Attachment 8 log scale
yellow - FEE64 aida01 LEC data rate (Hz) 268ms/channel
red    - FEE64 aida01 HEC data rate (Hz) 268ms/channel
blue   - DSSSD #1 decay rate (Hz) 268ms/channel
green  - DSSSD #1 implant rate (Hz) 268ms/channel

Attachment 9 log scale
yellow - FEE64 aida02 LEC data rate (Hz) 268ms/channel
red    - FEE64 aida02 HEC data rate (Hz) 268ms/channel
blue   - DSSSD #1 decay rate (Hz) 268ms/channel
green  - DSSSD #1 implant rate (Hz) 268ms/channel

Attachment 10 log scale
yellow - FEE64 aida05 LEC data rate (Hz) 268ms/channel
red    - FEE64 aida05 HEC data rate (Hz) 268ms/channel
blue   - DSSSD #2 decay rate (Hz) 268ms/channel
green  - DSSSD #2 implant rate (Hz) 268ms/channel


Attachment 11 per DSSSD LEC m_p versus m_n 

Attachment 12 per DSSSD LEC x versus y 

Attachment 13 per DSSSD LEC p+n junction versus n+n Ohmic energy - x-axis & y-axis 20keV/channel


Attachment 14 per DSSSD HEC m_p versus m_n 

Attachment 15 per DSSSD HEC x versus y 

Attachment 16 per DSSSD HEC p+n junction versus n+n Ohmic energy - x-axis & y-axis 20MeV/channel

Attachment 17 HEC DSSSD#2 p+n junction versus DSSSD#1 p+n junction energy - x-axis & y-axis 20MeV/channel
 to identify which ions stop in DSSSD#2 (z_loc=2)

Attachment 18 decay & implant dx versus dy 

Attachment 19 LEC DSSSD#2 p+n junction versus DSSSD#1 p+n junction energy - x-axis & y-axis 20keV/channel

Attachment 20 per DSSSD decay & implant dt (2us/channel)

Attachment 21 per DSSSD decay dt (2us/channel) versus p+n junctionj - n+n Ohmic energy difference (20keV/channel)


Summary

- high instantaneous data rates on spill
- significant deadtime FEE64 #2 on spill
   magnitude, structure, position of DSSSD#1 decay rate variations differs from FEE64 #2 deadtime - events merging?
- all other FEE64s OK on/off spill
Attachment 1: R3_150.txt
*** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260385271 (  829605.5 Hz)
        Other data format:    1534729 (    4889.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1425 (       4.5 Hz)
                               RESUME:       1425 (       4.5 Hz)
                              SYNC100:      33170 (     105.7 Hz)
                              WR48-63:      33170 (     105.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1465539 (    4669.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     455452 (    1451.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      313.866 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0               11.666                0.000
  1               57.289                0.000
  2                0.649                0.000
  3                5.611                0.000
  4                0.000                0.000
  5                0.063                0.000
  6                0.228                0.000
  7                0.039                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   31441805      29767          0          0        272        272       4062       4062          0      21099          0     112151
  1   66582904      18892          0          0        814        814       8632       8632          0          0          0      66555
  2   29895404     376545          0          0         84         84       3879       3879          0     368619          0      73144
  3   44568333     369126          0          0        168        168       5484       5484          0     357822          0      38819
  4    5095090       1256          0          0          0          0        628        628          0          0          0      37415
  5   19329369       4936          0          0         23         23       2445       2445          0          0          0      43894
  6   36823085     356594          0          0         50         50       4631       4631          0     347232          0      63334
  7   26649281     377613          0          0         14         14       3409       3409          0     370767          0      20140
  8          0          0          0          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   23.836s ( 1342.511 blocks/s,  83.907 Mb/s)
Attachment 2: Screenshot_from_2025-01-12_18-48-06.png
Screenshot_from_2025-01-12_18-48-06.png
Attachment 3: Screenshot_from_2025-01-12_18-49-40.png
Screenshot_from_2025-01-12_18-49-40.png
Attachment 4: Screenshot_from_2025-01-12_18-54-53.png
Screenshot_from_2025-01-12_18-54-53.png
Attachment 5: Screenshot_from_2025-01-12_18-55-44.png
Screenshot_from_2025-01-12_18-55-44.png
Attachment 6: Screenshot_from_2025-01-12_18-56-44.png
Screenshot_from_2025-01-12_18-56-44.png
Attachment 7: Screenshot_from_2025-01-12_18-59-33.png
Screenshot_from_2025-01-12_18-59-33.png
Attachment 8: Screenshot_from_2025-01-12_19-03-44.png
Screenshot_from_2025-01-12_19-03-44.png
Attachment 9: Screenshot_from_2025-01-12_21-25-46.png
Screenshot_from_2025-01-12_21-25-46.png
Attachment 10: Screenshot_from_2025-01-12_19-08-07.png
Screenshot_from_2025-01-12_19-08-07.png
Attachment 11: Screenshot_from_2025-01-12_19-10-12.png
Screenshot_from_2025-01-12_19-10-12.png
Attachment 12: Screenshot_from_2025-01-12_19-10-51.png
Screenshot_from_2025-01-12_19-10-51.png
Attachment 13: Screenshot_from_2025-01-12_19-11-32.png
Screenshot_from_2025-01-12_19-11-32.png
Attachment 14: Screenshot_from_2025-01-12_19-12-14.png
Screenshot_from_2025-01-12_19-12-14.png
Attachment 15: Screenshot_from_2025-01-12_19-12-54.png
Screenshot_from_2025-01-12_19-12-54.png
Attachment 16: Screenshot_from_2025-01-12_19-13-22.png
Screenshot_from_2025-01-12_19-13-22.png
Attachment 17: Screenshot_from_2025-01-12_19-14-02.png
Screenshot_from_2025-01-12_19-14-02.png
Attachment 18: Screenshot_from_2025-01-12_19-14-59.png
Screenshot_from_2025-01-12_19-14-59.png
Attachment 19: Screenshot_from_2025-01-12_19-15-37.png
Screenshot_from_2025-01-12_19-15-37.png
Attachment 20: Screenshot_from_2025-01-12_19-45-39.png
Screenshot_from_2025-01-12_19-45-39.png
Attachment 21: Screenshot_from_2025-01-12_19-46-34.png
Screenshot_from_2025-01-12_19-46-34.png
Attachment 22: Screenshot_from_2025-01-13_20-04-56.png
Screenshot_from_2025-01-13_20-04-56.png
  691   Sat Jan 11 14:14:21 2025 TDaida06 crashed and rebooted 10.1.25
aida06 crashed and rebooted sometime ( 14:48 - 23:25 ) yesterday. System console log appended.


10:01:25/14:58:29|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
10:01:25/14:58:29|------------[ cut here ]------------
10:01:25/23:25:49|kernel BUG at mm/slab.c:2974!
10:01:25/23:25:49|Oops: Exception in kernel mode, sig: 5 [#1]
10:01:25/23:25:50|PREEMPT Xilinx Virtex440
10:01:25/23:25:50|Modules linked in: aidamem xdriver xh_spidev_register
10:01:25/23:25:50|NIP: c009211c LR: c00920b0 CTR: 00000006
10:01:25/23:25:50|REGS: c632dc60 TRAP: 0700   Not tainted  (2.6.31)
10:01:25/23:25:50|MSR: 00021000 <ME,CE>  CR: 22022048  XER: 00000000
10:01:25/23:25:50|TASK = c62064c0[375] 'AidaExecV10' THREAD: c632c000
10:01:25/23:25:50|GPR00: 00000001 c632dd10 c62064c0 c680daf0 c694003c 0000000b c6940020 0000000a 
10:01:25/23:25:50|GPR08: 0000001b c680dae0 00000d80 c680dae0 22008022 10054d4c c03a0000 00000020 
10:01:25/23:25:50|GPR16: c0390000 c03a069c c03a0000 c038c384 c038cc18 00000020 00000000 00200200 
10:01:25/23:25:50|GPR24: 00100100 c632c000 00000000 c680dae8 c680dae0 c680ae00 00000005 c680e400 
10:01:25/23:25:50|NIP [c009211c] cache_alloc_refill+0x130/0x608
10:01:25/23:25:50|LR [c00920b0] cache_alloc_refill+0xc4/0x608
10:01:25/23:25:50|Call Trace:
10:01:25/23:25:50|[c632dd10] [c00920b0] cache_alloc_refill+0xc4/0x608 (unreliable)
10:01:25/23:25:50|[c632dd70] [c00927d8] kmem_cache_alloc+0xc4/0xcc
10:01:25/23:25:50|[c632dd90] [c0042420] __sigqueue_alloc+0x50/0xb8
10:01:25/23:25:50|[c632ddb0] [c0042938] __send_signal+0x78/0x260
10:01:25/23:25:50|[c632dde0] [c0042f78] group_send_sig_info+0x70/0x9c
10:01:25/23:25:51|[c632de10] [c00438a8] kill_pid_info+0x48/0x8c
10:01:25/23:25:51|[c632de30] [c0038e8c] it_real_fn+0x1c/0x30
10:01:25/23:25:51|[c632de40] [c0050c40] hrtimer_run_queues+0x184/0x240
10:01:25/23:25:51|[c632dea0] [c0040ba8] run_local_timers+0x10/0x2c
10:01:25/23:25:51|[c632deb0] [c0040bf4] update_process_times+0x30/0x70
10:01:25/23:25:51|[c632ded0] [c005a000] tick_periodic+0x34/0xe8
10:01:25/23:25:51|[c632dee0] [c005a0d4] tick_handle_periodic+0x20/0x120
10:01:25/23:25:51|[c632df20] [c000af70] timer_interrupt+0xa4/0x10c
10:01:25/23:25:51|[c632df40] [c000e9c4] ret_from_except+0x0/0x18
10:01:25/23:25:51|Instruction dump:
10:01:25/23:25:51|2f1e0000 409900f4 387c0010 3b7c0008 80dc0000 7f9c3000 419e014c 81060010 
10:01:25/23:25:51|801d001c 7c004010 38000000 7c000114 <0f000000> 81260010 801d001c 7f804840 
10:01:25/23:25:51|Kernel panic - not syncing: Fatal exception in interrupt
10:01:25/23:25:51|Call Trace:
10:01:25/23:25:51|[c632dab0] [c0005de8] show_stack+0x44/0x16c (unreliable)
10:01:25/23:25:51|[c632daf0] [c00345bc] panic+0x94/0x168
10:01:25/23:25:51|[c632db40] [c000bd44] die+0x178/0x18c
10:01:25/23:25:51|[c632db60] [c000c000] _exception+0x164/0x1b4
10:01:25/23:25:51|[c632dc50] [c000e978] ret_from_except_full+0x0/0x4c
10:01:25/23:25:51|[c632dd10] [c00920b0] cache_alloc_refill+0xc4/0x608
10:01:25/23:25:52|[c632dd70] [c00927d8] kmem_cache_alloc+0xc4/0xcc
10:01:25/23:25:52|[c632dd90] [c0042420] __sigqueue_alloc+0x50/0xb8
10:01:25/23:25:52|[c632ddb0] [c0042938] __send_signal+0x78/0x260
10:01:25/23:25:52|[c632dde0] [c0042f78] group_send_sig_info+0x70/0x9c
10:01:25/23:25:52|[c632de10] [c00438a8] kill_pid_info+0x48/0x8c
10:01:25/23:25:52|[c632de30] [c0038e8c] it_real_fn+0x1c/0x30
10:01:25/23:25:52|[c632de40] [c0050c40] hrtimer_run_queues+0x184/0x240
10:01:25/23:25:52|[c632dea0] [c0040ba8] run_local_timers+0x10/0x2c
10:01:25/23:25:52|[c632deb0] [c0040bf4] update_process_times+0x30/0x70
10:01:25/23:25:52|[c632ded0] [c005a000] tick_periodic+0x34/0xe8
10:01:25/23:25:52|[c632dee0] [c005a0d4] tick_handle_periodic+0x20/0x120
10:01:25/23:25:52|[c632df20] [c000af70] timer_interrupt+0xa4/0x10c
10:01:25/23:25:52|[c632df40] [c000e9c4] ret_from_except+0x0/0x18
10:01:25/23:25:52|Rebooting in 180 seconds..
ISOL Version 1.00 Date 9th January 2017
Flash base address=FC000000
Set Flash to ASync Mode
XST_SUCCESS:28:52|
Finished copying zImage to RAM 
10:01:25/23:28:53|
Found 0 errors checking kernel image
10:01:25/23:28:54|VHDL version number 0X03350706 
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
Starting LMK 3200 setup 
10:01:25/23:28:54|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
.... SPI Base Address=0x81400000
clk_control_reg=0x4
10:01:25/23:28:54|Next step is SPIconfig
Control 32(0x81400000)=0x180
SlaveSel(0x81400000)=0x3
Ctrl(0x81400000)=0xE6
Ctrl(0x81400000)=0x86
10:01:25/23:28:54|SPIconfig done now to set up the LMK3200 registers
10:01:25/23:28:54|LMK #0 : regInit[0]=0x80000000
10:01:25/23:28:54|LMK #0 : regInit[1]=0x10070600
10:01:25/23:28:54|LMK #0 : regInit[2]=0x60601
10:01:25/23:28:54|LMK #0 : regInit[3]=0x60602
10:01:25/23:28:55|LMK #0 : regInit[4]=0x60603
10:01:25/23:28:55|LMK #0 : regInit[5]=0x70624
10:01:25/23:28:55|LMK #0 : regInit[6]=0x70605
10:01:25/23:28:55|LMK #0 : regInit[7]=0x70606
10:01:25/23:28:55|LMK #0 : regInit[8]=0x70627
10:01:25/23:28:55|LMK #0 : regInit[9]=0x10000908
10:01:25/23:28:55|LMK #0 : regInit[10]=0xA0022A09
10:01:25/23:28:55|LMK #0 : regInit[11]=0x82800B
10:01:25/23:28:55|LMK #0 : regInit[12]=0x28C800D
10:01:25/23:28:55|LMK #0 : regInit[13]=0x830020E
10:01:25/23:28:55|LMK #0 : regInit[14]=0xC800180F
Calibrate completed at 942 counts
Setting Clock Control =0x0000000B, to set GOE and sync bit
Ctrl @ SPIstop (0x81400000)=0x186
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
10:01:25/23:28:55|
Finished Clock setup LMK03200
completed LMK 3200 setup 
Loaded all four ASICs with default settings 
Setting the ADCs into calibration mode 
10:01:25/23:28:55|
Control 32(0x81400400)=0x180
SlaveSel(0x81400400)=0xFF
Ctrl(0x81400400)=0xE6
Ctrl(0x81400400)=0x86
Init : Config of AD9252 SPI ok
10:01:25/23:28:55|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
Cal DCMs not locked 
ADC calibrate failed 
Jumping to kernel simpleboot...
10:01:25/23:28:56|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
Allocating 0x3b78cc bytes for kernel ...
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
10:01:25/23:28:59|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
Finalizing device tree... flat tree at 0xbd1300
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xee 0x71 
10:01:25/23:29:05|Using Xilinx Virtex440 machine description
10:01:25/23:29:06|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
10:01:25/23:29:06|Zone PFN ranges:
10:01:25/23:29:06|  DMA      0x00000000 -> 0x00007000
10:01:25/23:29:06|  Normal   0x00007000 -> 0x00007000
10:01:25/23:29:06|Movable zone start PFN for each node
10:01:25/23:29:06|early_node_map[1] active PFN ranges
10:01:25/23:29:06|    0: 0x00000000 -> 0x00007000
10:01:25/23:29:06|MMU: Allocated 1088 bytes of context maps for 255 contexts
10:01:25/23:29:06|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
10:01:25/23:29:06|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
10:01:25/23:29:06|PID hash table entries: 512 (order: 9, 2048 bytes)
10:01:25/23:29:07|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
10:01:25/23:29:07|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
10:01:25/23:29:07|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
10:01:25/23:29:07|Kernel virtual memory layout:
10:01:25/23:29:07|  * 0xffffe000..0xfffff000  : fixmap
10:01:25/23:29:07|  * 0xfde00000..0xfe000000  : consistent mem
10:01:25/23:29:07|  * 0xfde00000..0xfde00000  : early ioremap
10:01:25/23:29:07|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
10:01:25/23:29:07|NR_IRQS:512
10:01:25/23:29:07|clocksource: timebase mult[a00000] shift[22] registered
10:01:25/23:29:07|Console: colour dummy device 80x25
10:01:25/23:29:07|Mount-cache hash table entries: 512
10:01:25/23:29:07|NET: Registered protocol family 16
10:01:25/23:29:07|PCI: Probing PCI hardware
10:01:25/23:29:07|bio: create slab <bio-0> at 0
10:01:25/23:29:07|NET: Registered protocol family 2
10:01:25/23:29:07|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
10:01:25/23:29:07|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
10:01:25/23:29:07|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
10:01:25/23:29:07|TCP: Hash tables configured (established 4096 bind 4096)
10:01:25/23:29:08|TCP reno registered
10:01:25/23:29:08|NET: Registered protocol family 1
10:01:25/23:29:08|ROMFS MTD (C) 2007 Red Hat, Inc.
10:01:25/23:29:08|msgmni has been set to 214
10:01:25/23:29:08|io scheduler noop registered
10:01:25/23:29:08|io scheduler anticipatory registered
10:01:25/23:29:08|io scheduler deadline registered
10:01:25/23:29:08|io scheduler cfq registered (default)
10:01:25/23:29:08|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
10:01:25/23:29:08|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
10:01:25/23:29:08|console [ttyS0] enabled
10:01:25/23:29:08|brd: module loaded
10:01:25/23:29:08|loop: module loaded
10:01:25/23:29:08|Device Tree Probing 'ethernet'
10:01:25/23:29:08|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:ee:71
10:01:25/23:29:08|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
10:01:25/23:29:08|XLlTemac: DCR address: 0x80
10:01:25/23:29:08|XLlTemac: buffer descriptor size: 32768 (0x8000)
10:01:25/23:29:08|XLlTemac: Allocating DMA descriptors with kmalloc
10:01:25/23:29:08|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
10:01:25/23:29:08|XTemac: PHY detected at address 7.
10:01:25/23:29:08|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
10:01:25/23:29:09|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09| Intel/Sharp Extended Query Table at 0x010A
10:01:25/23:29:09|Using buffer write method
10:01:25/23:29:09|cfi_cmdset_0001: Erase suspend on write enabled
10:01:25/23:29:09|cmdlinepart partition parsing not available
10:01:25/23:29:09|RedBoot partition parsing not available
10:01:25/23:29:09|Creating 5 MTD partitions on "fc000000.flash":
10:01:25/23:29:09|0x000000000000-0x000000500000 : "golden_firmware"
10:01:25/23:29:09|0x000000500000-0x000000800000 : "golden_kernel"
10:01:25/23:29:09|0x000000800000-0x000000d00000 : "user_firmware"
10:01:25/23:29:09|0x000000d00000-0x000000fe0000 : "user_kernel"
10:01:25/23:29:09|0x000000fe0000-0x000001000000 : "env_variables"
10:01:25/23:29:09|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
10:01:25/23:29:10|SPI: XIlinx spi: bus number now 32766
10:01:25/23:29:10|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
10:01:25/23:29:10|SPI: XIlinx spi: bus number now 32765
10:01:25/23:29:10|mice: PS/2 mouse device common for all mice
10:01:25/23:29:10|Device Tree Probing 'i2c'
10:01:25/23:29:10| #0 at 0x81600000 mapped to 0xD1030000, irq=22
10:01:25/23:29:10|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
10:01:25/23:29:10|TCP cubic registered
10:01:25/23:29:10|NET: Registered protocol family 17
10:01:25/23:29:10|RPC: Registered udp transport module.
10:01:25/23:29:10|RPC: Registered tcp transport module.
10:01:25/23:29:10|eth0: XLlTemac: Options: 0x3fa
10:01:25/23:29:11|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
10:01:25/23:29:11|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
10:01:25/23:29:11|eth0: XLlTemac: speed set to 1000Mb/s
10:01:25/23:29:13|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
10:01:25/23:29:13|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
10:01:25/23:29:13|Sending DHCP requests ., OK
10:01:25/23:29:14|IP-Config: Got DHCP answer from 192.168.11.99, my address is 192.168.11.6
10:01:25/23:29:14|IP-Config: Complete:
10:01:25/23:29:14|     device=eth0, addr=192.168.11.6, mask=255.255.255.0, gw=255.255.255.255,
10:01:25/23:29:14|     host=aida06, domain=dl.ac.uk, nis-domain=nuclear.physics,
10:01:25/23:29:14|     bootserver=192.168.11.99, rootserver=192.168.11.99, rootpath=/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06
10:01:25/23:29:14|Looking up port of RPC 100003/2 on 192.168.11.99
10:01:25/23:29:14|Looking up port of RPC 100005/1 on 192.168.11.99
10:01:25/23:29:14|VFS: Mounted root (nfs filesystem) on device 0:12.
10:01:25/23:29:14|Freeing unused kernel memory: 168k init
INIT: version 2.86 booting
10:01:25/23:29:15|Starting sysinit...
10:01:25/23:29:15|		Welcome to DENX & STFC Daresbury Embedded Linux Environment
10:01:25/23:29:16|		Press 'I' to enter interactive startup.
10:01:25/23:29:16|Setting clock  (utc): Fri Jan 10 22:29:16 GMT 2025 [  OK  ]
10:01:25/23:29:16|Building the cache [  OK  ]
10:01:25/23:29:16|Setting hostname aida06:  [  OK  ]
10:01:25/23:29:18|Mounting local filesystems:  [  OK  ]
10:01:25/23:29:19|Enabling /etc/fstab swaps:  [  OK  ]
10:01:25/23:29:21|Finishing sysinit...
INIT: Entering runlevel: 3
10:01:25/23:29:24|Entering non-interactive startup
10:01:25/23:29:24|FATAL: Module ipv6 not found.
10:01:25/23:29:26|Bringing up loopback interface:  [  OK  ]
10:01:25/23:29:29|FATAL: Module ipv6 not found.
10:01:25/23:29:29|Starting system logger: [  OK  ]
10:01:25/23:29:30|Starting kernel logger: [  OK  ]
10:01:25/23:29:30|Starting rpcbind: [  OK  ]
10:01:25/23:29:32|Mounting NFS filesystems:  [  OK  ]
10:01:25/23:29:32|Mounting other filesystems:  [  OK  ]
10:01:25/23:29:33|Starting xinetd: [  OK  ]
10:01:25/23:29:33|Starting midas:  Starting MIDAS Data Acquisition for aida06
10:01:25/23:29:34|xaida: device parameters: base=0x81000000 size=0x200000
10:01:25/23:29:39|Trying to free nonexistent resource <0000000081000000-00000000811fffff>
10:01:25/23:29:40|xaida: mem region start 0x81000000 for 0x200000 mapped at 0xd2100000
10:01:25/23:29:40|xaida: driver assigned major number 254
10:01:25/23:29:40|Trying to free nonexistent resource <0000000007000000-0000000007ffffff>
10:01:25/23:29:45|AIDAMEM: aidamem: mem region start 0x7000000 for 0x1000000 mapped at 0xd2380000
10:01:25/23:29:45|AIDAMEM: aidamem: driver assigned major number 253
10:01:25/23:29:45|System identified is CPU ppc; Platform is unix; OS is Linux and Version is 2.6.31
10:01:25/23:29:52|Environment selected is CPU ppc; Platform unix; OS Linux and Operating System linux-ppc_4xx
10:01:25/23:29:52|MIDASBASE = /MIDAS and MIDAS_LIBRARY = /MIDAS/TclHttpd/linux-ppc_4xx
10:01:25/23:29:52|PATH = /MIDAS/bin_linux-ppc_4xx:/MIDAS/TclHttpd/linux-ppc_4xx:/MIDAS/linux-ppc_4xx/bin:/MIDAS/linux-ppc_4xx/bin:/sbin:/usr/sbin:/bin:/usr/bin
10:01:25/23:29:52|Computer Name = aida06; Temp Directory = /tmp/tcl361
10:01:25/23:29:57|
10:01:25/23:30:02|AIDA Data Acquisition Program Release 10.0.Jul  6 2022_15:10:57 starting
10:01:25/23:30:02|
10:01:25/23:30:02|Built without pthreads
10:01:25/23:30:02|
10:01:25/23:30:02|Creating NetVars
10:01:25/23:30:02|Output buffer length = 65504; format option = 4; transfer option = 3
10:01:25/23:30:02|EB transfer option = 3
10:01:25/23:30:02|NetVars created anxaida: open:
10:01:25/23:30:02|d initialised
10:01:25/23:30:02|SAIDAMEM: aidamem_open:
10:01:25/23:30:02|tatistics thread starting
10:01:25/23:30:02|Data Acquisition task has PID 375
10:01:25/23:30:02|Statistics thread created
10:01:25/23:30:02|Stat/Rate creation thread starting
10:01:25/23:30:02|Stat/Rate creation thread created
10:01:25/23:30:02|Hit/Rate creation thread starting
10:01:25/23:30:02|Hit/Rate creation thread created
10:01:25/23:30:02|AIDA Heartbeat thread starting
10:01:25/23:30:02|Heartbeat thread created
10:01:25/23:30:02|Installing signal handlers
10:01:25/23:30:02|Done
10:01:25/23:30:02|ModuleNum = 0
10:01:25/23:30:02|Aida Initialise complete. AidaExecV10.0: Build Jul  6 2022_15:10:57. HDL version : 03350706 
10:01:25/23:30:02|package limit is not available: can't find package limit
10:01:25/23:30:02|Running with default file descriptor limit
10:01:25/23:30:02|Spectra table initialised
10:01:25/23:30:02|AIDA Data Acquisition now all ready to start
10:01:25/23:30:02|SIGBUS, SIGSEGV and SIGPIPE traps setup
10:01:25/23:30:02|package setuid is not available: can't find package setuid
10:01:25/23:30:04|Running as user 0 group 0
10:01:25/23:30:04|[  OK  ]
10:01:25/23:30:12|
10:01:25/23:30:13|DENX ELDK version 4.2 build 2008-04-01
10:01:25/23:30:13|Linux 2.6.31 on a ppc
10:01:25/23:30:13|
10:01:25/23:30:13|aida06 login: /debug user "debug" password "ztl9xf9b3o0l"
10:01:25/23:30:18|httpd started on port 8015
10:01:25/23:30:18|
10:01:25/23:30:18|Cannot use /MIDAS/config/TclHttpd/aida06@8015/startup.tcl
10:01:25/23:30:18|Custom startup from /MIDAS/config/TclHttpd/aida06/startup.tcl
10:01:25/23:30:18|XAIDA Access package 1.0
10:01:25/23:30:20|/XAIDAAccessServer
10:01:25/23:30:21|XAD9252 Access package 1.0
10:01:25/23:30:21|/XAD9252AccessServer
10:01:25/23:30:21|/DataBaseAccessServer
10:01:25/23:30:21|/NetVarService
10:01:25/23:30:21|/SigTaskService
10:01:25/23:30:21|Loaded MemSasAccess
10:01:25/23:30:21|/SpectrumService
10:01:25/23:30:21|loading tcl/AIDARunControl.tcl for namespace ::
10:01:25/23:30:21|/DataAcquisitionControlServer
10:01:25/23:30:21|DefineMessage unknown
10:01:25/23:30:21|Run Control Server Implementation for AIDA
10:01:25/23:30:21|RunControlServer loaded
10:01:25/23:30:21|loading Html/RunControl/implementation.tcl
10:01:25/23:30:22|/MIDAS/TclHttpd/Html/RunControl/common.tcl returned z=1 and couldn't read file "/MIDAS/TclHttpd/Html/RunControl/common.tcl": no such file or directory
10:01:25/23:30:22|ReadRegister failed: Name=NetVar.EXEC.ID; Code= 0x10004; Info= Register name does not exist
10:01:25/23:30:22|Created UI registers
10:01:25/23:30:23|RunControl loaded
10:01:25/23:30:23|loading Html/AIDA/RunControl/implementation.tcl for namespace ::
10:01:25/23:30:23|mkdir returned 1
10:01:25/23:30:23|filepath = /MIDAS/FEE_ASIC
10:01:25/23:30:23|filename = /MIDAS/FEE_ASIC/aida06/ASICs.txt
10:01:25/23:30:23|AIDA RunControl loaded
10:01:25/23:30:24|Completed custom startup from /MIDAS/TclHttpd/Html/AIDA/RunControl/stats.defn.tcl
10:01:25/23:30:24|xaida: open:
11:01:25/14:36:47|do_GetState returned z=0 and 8
11:01:25/14:59:08|do_GetState returned z=0 and 8
11:01:25/14:59:20|do_GetState returned z=0 and 8
11:01:25/14:59:32|do_GetState returned z=0 and 8
11:01:25/14:59:44|do_GetState returned z=0 and 8
11:01:25/14:59:56|do_GetState returned z=0 and 8
11:01:25/15:00:08|do_GetState returned z=0 and 8
11:01:25/15:00:20|do_GetState returned z=0 and 8
11:01:25/15:00:33|do_GetState returned z=0 and 8
11:01:25/15:00:45|do_GetState returned z=0 and 8
11:01:25/15:00:57|do_GetState returned z=0 and 8
11:01:25/15:01:09|do_GetState returned z=0 and 8
11:01:25/15:01:21|do_GetState returned z=0 and 8
11:01:25/15:01:33|do_GetState returned z=0 and 8
11:01:25/15:01:45|do_GetState returned z=0 and 8
11:01:25/15:01:58|do_GetState returned z=0 and 8
11:01:25/15:02:10|do_GetState returned z=0 and 8
11:01:25/15:02:22|do_GetState returned z=0 and 8
11:01:25/15:02:34|do_GetState returned z=0 and 8
11:01:25/15:02:46|do_GetState returned z=0 and 8
11:01:25/15:02:59|do_GetState returned z=0 and 8
11:01:25/15:03:11|do_GetState returned z=0 and 8
11:01:25/15:03:23|do_GetState returned z=0 and 8
11:01:25/15:03:35|do_GetState returned z=0 and 8
11:01:25/15:03:47|do_GetState returned z=0 and 8
11:01:25/15:03:59|do_GetState returned z=0 and 8
11:01:25/15:04:11|do_GetState returned z=0 and 8
11:01:25/15:04:24|do_GetState returned z=0 and 8
11:01:25/15:04:36|do_GetState returned z=0 and 8
11:01:25/15:04:48|do_GetState returned z=0 and 8
11:01:25/15:05:00|do_GetState returned z=0 and 8
11:01:25/15:05:12|do_GetState returned z=0 and 8
11:01:25/15:05:24|do_GetState returned z=0 and 8
11:01:25/15:05:36|do_GetState returned z=0 and 8
11:01:25/15:05:49|do_GetState returned z=0 and 8
11:01:25/15:06:01|do_GetState returned z=0 and 8
11:01:25/15:06:13|do_GetState returned z=0 and 8
11:01:25/15:06:25|do_GetState returned z=0 and 8
11:01:25/15:06:37|do_GetState returned z=0 and 8
11:01:25/15:06:50|do_GetState returned z=0 and 8
11:01:25/15:07:02|do_GetState returned z=0 and 8
11:01:25/15:07:14|do_GetState returned z=0 and 8
11:01:25/15:07:26|do_GetState returned z=0 and 8
11:01:25/15:07:38|do_GetState returned z=0 and 8
11:01:25/15:07:51|do_GetState returned z=0 and 8
11:01:25/15:08:03|do_GetState returned z=0 and 8
11:01:25/15:08:15|do_GetState returned z=0 and 8
11:01:25/15:08:27|do_GetState returned z=0 and 8
11:01:25/15:08:40|do_GetState returned z=0 and 8
11:01:25/15:08:52|do_GetState returned z=0 and 8
11:01:25/15:09:04|do_GetState returned z=0 and 8
11:01:25/15:09:17|do_GetState returned z=0 and 8
11:01:25/15:09:29|do_GetState returned z=0 and 8
11:01:25/15:09:41|do_GetState returned z=0 and 8
11:01:25/15:09:53|do_GetState returned z=0 and 8
11:01:25/15:10:06|do_GetState returned z=0 and 8
11:01:25/15:10:18|do_GetState returned z=0 and 8
11:01:25/15:10:30|do_GetState returned z=0 and 8
11:01:25/15:10:43|do_GetState returned z=0 and 8
11:01:25/15:10:55|do_GetState returned z=0 and 8
  690   Sat Jan 11 14:05:03 2025 TDSaturday 11 January 2024
14.37 Detector bias & leakage current OK - attachment 1
  
      FEE64 temperatures OK - attachment 2

      WR timestamps - attachment 3
       aida06 out of sync

      global clock status - attachment 4
       aida06 fails

      ADC calibration - attachment 5
       all fail - probably due to ASIC synchronise *after* ADC calibration

      WR decoder & FPGA TS status - attachments 6-7 
       aida06 status 0xd

      DAQ run control - attachment 8
       aida06 undefined => aida06 has rebooted

      Merger & Tape Server - attachments 9-10
       merger, tape server and data transfer have stopped

      See https://elog.ph.ed.ac.uk/DESPEC/691
       aidao06 system console - aida06 rebooted sometime yesterday


*** Recovery

For FEE64 aida06 *only*

DAQ reset
DAQ setup


For all FEE64s

DAQ stop
Restart merger, merger setup, merger go 
 Following two merger restart cycles all FEE64s stopped OK
Recovery complete

       All system wide checks OK

       Disable data transfer, DAQ go

       per FEE64 rate spectra = attachment 11
        aida04 high rates

       per FEE64 1.8.W spectra - 20us FSR - attachments 12-13

       DAQ stop, enable data transfer, DAQ go

       Merger and server consoles - attachment 14
        merger and tape server (no storage mode) running OK but no data transfer to MBS server

       WR timestamps OK - attachment 15

       ADC and DISC data item stats - attachment 16-17
        aida04 & aida16 high rates - all others appear to be OK
        ASIC check load for all aida16 ASICs changes rate from c. 420k to 0 
        ASIC check load for all aida04 ASICs changes rate from c. 200k to c. 120k

18.00 No data transfer to MBS - nothing useful being donme at the moment - will place system in safe state

      DAQ stop
      Detector bias OFF
      FEE64 power OFF
Attachment 1: Screenshot_from_2025-01-11_14-37-29.png
Screenshot_from_2025-01-11_14-37-29.png
Attachment 2: Screenshot_from_2025-01-11_14-55-21.png
Screenshot_from_2025-01-11_14-55-21.png
Attachment 3: Screenshot_from_2025-01-11_14-56-44.png
Screenshot_from_2025-01-11_14-56-44.png
Attachment 4: Screenshot_from_2025-01-11_14-57-14.png
Screenshot_from_2025-01-11_14-57-14.png
Attachment 5: Screenshot_from_2025-01-11_14-57-37.png
Screenshot_from_2025-01-11_14-57-37.png
Attachment 6: Screenshot_from_2025-01-11_14-58-05.png
Screenshot_from_2025-01-11_14-58-05.png
Attachment 7: Screenshot_from_2025-01-11_14-58-25.png
Screenshot_from_2025-01-11_14-58-25.png
Attachment 8: Screenshot_from_2025-01-11_14-59-24.png
Screenshot_from_2025-01-11_14-59-24.png
Attachment 9: Screenshot_from_2025-01-11_15-00-00.png
Screenshot_from_2025-01-11_15-00-00.png
Attachment 10: Screenshot_from_2025-01-11_15-00-31.png
Screenshot_from_2025-01-11_15-00-31.png
Attachment 11: Screenshot_from_2025-01-11_15-29-32.png
Screenshot_from_2025-01-11_15-29-32.png
Attachment 12: Screenshot_from_2025-01-11_15-30-35.png
Screenshot_from_2025-01-11_15-30-35.png
Attachment 13: Screenshot_from_2025-01-11_15-31-29.png
Screenshot_from_2025-01-11_15-31-29.png
Attachment 14: Screenshot_from_2025-01-11_15-35-14.png
Screenshot_from_2025-01-11_15-35-14.png
Attachment 15: Screenshot_from_2025-01-11_15-38-24.png
Screenshot_from_2025-01-11_15-38-24.png
Attachment 16: Screenshot_from_2025-01-11_15-27-28.png
Screenshot_from_2025-01-11_15-27-28.png
Attachment 17: Screenshot_from_2025-01-11_15-26-39.png
Screenshot_from_2025-01-11_15-26-39.png
  689   Fri Jan 10 10:03:43 2025 TDLEC fast comparator threshold too low
> > > 
> > > LEC fast comparator has been set to 0x2 (200keV) which is *very* low and means the fast comparator will be triggering on noise.
> > > 
> > > The *minimum* LEC fast comparator setting should be in the range 0x5 - 0xa (500-1000keV).
> > > 
> > > I have set the TapeServer to 'no storage' mode to avoid filling the aida-gsi disk with rubbish.
> > 
> > Thanks. From the DESPEC elog.gsi.de/despec/Implantation+Stack/18
> > 
> > "We have made a trigger from the BGO logic and OR64 of the fast discriminator from AIDA. This was sent as a trigger to the bPlast Exploder.
> > 
> > This did not work as the FEE64s of AIDA are running into high dead-time and a result are dropping data. This is a problem for the ucesb unpacker as it will quite working if one of the subsystem stops sending 
data. (@Nic is this correct?)
> > 
> > This is indeed what I see as whenever we try to run FAIRROOT the tree builder exits immediately."
> 
> We have increased the all thresholds excluding aida10 to 0xff. To not trigger on the other FEEs, however better, the DAQ still occasionally runs into dead time and as such fails with making trees.
> 
> We have thus elected to turn off AIDA and move out the platform. The conclusion is that we are not able to do this fast timing test with a 200 keV threshold.

Per our discussions in December 2024 this is exactly what would be expected.
  688   Thu Jan 9 17:56:14 2025 TDLEC fast comparator threshold too low
> > 
> > LEC fast comparator has been set to 0x2 (200keV) which is *very* low and means the fast comparator will be triggering on noise.
> > 
> > The *minimum* LEC fast comparator setting should be in the range 0x5 - 0xa (500-1000keV).
> > 
> > I have set the TapeServer to 'no storage' mode to avoid filling the aida-gsi disk with rubbish.
> 
> Thanks. From the DESPEC elog.gsi.de/despec/Implantation+Stack/18
> 
> "We have made a trigger from the BGO logic and OR64 of the fast discriminator from AIDA. This was sent as a trigger to the bPlast Exploder.
> 
> This did not work as the FEE64s of AIDA are running into high dead-time and a result are dropping data. This is a problem for the ucesb unpacker as it will quite working if one of the subsystem stops sending data. (@Nic is this correct?)
> 
> This is indeed what I see as whenever we try to run FAIRROOT the tree builder exits immediately."

We have increased the all thresholds excluding aida10 to 0xff. To not trigger on the other FEEs, however better, the DAQ still occasionally runs into dead time and as such fails with making trees.

We have thus elected to turn off AIDA and move out the platform. The conclusion is that we are not able to do this fast timing test with a 200 keV threshold.
  687   Thu Jan 9 17:36:50 2025 TDLEC fast comparator threshold too low
> 
> LEC fast comparator has been set to 0x2 (200keV) which is *very* low and means the fast comparator will be triggering on noise.
> 
> The *minimum* LEC fast comparator setting should be in the range 0x5 - 0xa (500-1000keV).
> 
> I have set the TapeServer to 'no storage' mode to avoid filling the aida-gsi disk with rubbish.

Thanks. From the DESPEC elog.gsi.de/despec/Implantation+Stack/18

"We have made a trigger from the BGO logic and OR64 of the fast discriminator from AIDA. This was sent as a trigger to the bPlast Exploder.

This did not work as the FEE64s of AIDA are running into high dead-time and a result are dropping data. This is a problem for the ucesb unpacker as it will quite working if one of the subsystem stops sending data. (@Nic is this correct?)

This is indeed what I see as whenever we try to run FAIRROOT the tree builder exits immediately."
  686   Thu Jan 9 16:25:51 2025 TDLEC fast comparator threshold too low
LEC fast comparator has been set to 0x2 (200keV) which is *very* low and means the fast comparator will be triggering on noise.

The *minimum* LEC fast comparator setting should be in the range 0x5 - 0xa (500-1000keV).

I have set the TapeServer to 'no storage' mode to avoid filling the aida-gsi disk with rubbish.
Attachment 1: Screenshot_from_2025-01-09_17-28-45.png
Screenshot_from_2025-01-09_17-28-45.png
  685   Thu Jan 9 15:43:17 2025 JB, CC, MPTiming test platform moved in

16:30 We moved the platform in. The system was still biased and the DAQ was still running.

The system seems to be okay, temperatures OK. Bias voltage OK 685/1 and 685/2. Two FEEs - aida07 and aida12 are receiving a lot of trigger data items from the fast discriminator. This is already a bit worrying. 685/3

Attachment 1: Screenshot_from_2025-01-09_16-41-48.png
Screenshot_from_2025-01-09_16-41-48.png
Attachment 2: Screenshot_from_2025-01-09_16-42-06.png
Screenshot_from_2025-01-09_16-42-06.png
Attachment 3: Screenshot_from_2025-01-09_16-42-46.png
Screenshot_from_2025-01-09_16-42-46.png
  684   Thu Jan 9 12:46:43 2025 JB, MP, CCAIDA timing test

 

Quote:

 

Quote:

https://elog.gsi.de/despec/Implantation+Stack/9?suppress=1 - Day 1 ELOG

Day2:

10:14 we set up the detector with the pulser in BB7 and started biasing the detector and setting up the DAQ. Water flow and temperature check, OK. 677/1 677/2 677/3

FEE temps OK. Screenshots included for LOCAL controls for aida07, Discriminator for aida07, ASIC control for aida01 ASIC # 1,2,3,4 and the pulser setting - 1 V @ 10 Hz rep. 677/4 677/5 677/6 677/7 677/8 677/9

ASIC thresholds for the fast comparator LEC/MEC set to 0x20 for all the ASICs in aida10 - connected to BB7. Act on all ASICs did not work with this so each threshold was set to 0x20 on each ASIC by hand.

The pulser is now connected to BB7 test + and via a T-connector to the scope, triggering on the pulser signal. We saw now signals --> lower the threshold of the fast comparator to 1 MeV - 0x64 on all ASICs in aida10. 677/10

No signal was observed, threshold was then set to 0x190 (400 keV) 677/11

13:00 We resumed after lunch. It seems that our thresholds were way too high for starters each channel was actually 100 keV in HEX. We then set the thresholds in aida10 to 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4, respectively. This was to achieve a 10 Hz hit rate in all of the channels! This was done successfully see 677/12 for the hit rate spectrum and also 677/13-16 for the thresholds.

 

 

The pulser was set to 0.5 V to test if we can still see the time spectrum between AIDA and bPlast with reduced thresholds -- mimicking a beta event.

These thresholds were changes from 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4 ---> 0x10, 0x10, 0x0d, 0x10.

This was set to have the HitRate in aida10 to be just above the noise.

 

Initial results: copy from DESPEC elog.

We have managed to obtain the time difference between the bPlast White rabbit and the AIDA Fast time. We had to gate out the zero fast-time events and then also condition that we only take the data from aida10. We see this in 684/1. Then if we look at the time difference between the fast time and the bPlast WRT we see a sharp peak at zero, this makes sense as the AIDA fast time discriminator is being triggered by a pulser which is then being used as a trigger for bPlasts DAQ so these events should be virtually arriving without delay. The delay we do see is infact around 750 ns.

The data collected overnight was also analysed as shown in 684/3 this is the data accumulated with the 22Na source close to the snout and a global threshold in the fast discriminator of 0x0f. The centre peak was roughly fitted with a gaussian:    

  NO.   NAME      VALUE            ERROR          SIZE      DERIVATIVE 
   1  Constant     1.21277e+03   2.74526e+01   5.66915e-01  -5.61074e-07
   2  Mean        -1.78513e+02   8.50699e+00   1.62947e-01   1.58554e-06
   3  Sigma        3.48571e+02   4.03993e+00   6.26343e-05  -1.86863e-03
Attachment 1: AIDAfasttime_bPlastWRdt_AIDA10.png
AIDAfasttime_bPlastWRdt_AIDA10.png
Attachment 2: AIDACalAdcFasttime.png
AIDACalAdcFasttime.png
Attachment 3: AIDAfasttime_bPlastWRdt_AIDA10_nopulser.png
AIDAfasttime_bPlastWRdt_AIDA10_nopulser.png
Attachment 4: Centrepeak_gauss_fit.png
Centrepeak_gauss_fit.png
  683   Wed Jan 8 16:47:05 2025 JB, MP, CCAIDA timing test

 

Quote:

https://elog.gsi.de/despec/Implantation+Stack/9?suppress=1 - Day 1 ELOG

Day2:

10:14 we set up the detector with the pulser in BB7 and started biasing the detector and setting up the DAQ. Water flow and temperature check, OK. 677/1 677/2 677/3

FEE temps OK. Screenshots included for LOCAL controls for aida07, Discriminator for aida07, ASIC control for aida01 ASIC # 1,2,3,4 and the pulser setting - 1 V @ 10 Hz rep. 677/4 677/5 677/6 677/7 677/8 677/9

ASIC thresholds for the fast comparator LEC/MEC set to 0x20 for all the ASICs in aida10 - connected to BB7. Act on all ASICs did not work with this so each threshold was set to 0x20 on each ASIC by hand.

The pulser is now connected to BB7 test + and via a T-connector to the scope, triggering on the pulser signal. We saw now signals --> lower the threshold of the fast comparator to 1 MeV - 0x64 on all ASICs in aida10. 677/10

No signal was observed, threshold was then set to 0x190 (400 keV) 677/11

13:00 We resumed after lunch. It seems that our thresholds were way too high for starters each channel was actually 100 keV in HEX. We then set the thresholds in aida10 to 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4, respectively. This was to achieve a 10 Hz hit rate in all of the channels! This was done successfully see 677/12 for the hit rate spectrum and also 677/13-16 for the thresholds.

 

 

The pulser was set to 0.5 V to test if we can still see the time spectrum between AIDA and bPlast with reduced thresholds -- mimicking a beta event.

These thresholds were changes from 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4 ---> 0x10, 0x10, 0x0d, 0x10.

This was set to have the HitRate in aida10 to be just above the noise.

Attachment 1: Screenshot_from_2025-01-08_17-51-51.png
Screenshot_from_2025-01-08_17-51-51.png
  682   Wed Jan 8 10:46:50 2025 TDReport - aida06 -boot fail due to network issue
8:01:25/11:42:48|0x000000d00000-0x000000fe0000 : "user_kernel"
08:01:25/11:42:48|0x000000fe0000-0x000001000000 : "env_variables"
08:01:25/11:42:48|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
08:01:25/11:42:48|SPI: XIlinx spi: bus number now 32766
08:01:25/11:42:48|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
08:01:25/11:42:48|SPI: XIlinx spi: bus number now 32765
08:01:25/11:42:48|mice: PS/2 mouse device common for all mice
08:01:25/11:42:48|Device Tree Probing 'i2c'
08:01:25/11:42:48| #0 at 0x81600000 mapped to 0xD1030000, irq=22
08:01:25/11:42:48|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
08:01:25/11:42:48|TCP cubic registered
08:01:25/11:42:48|NET: Registered protocol family 17
08:01:25/11:42:48|RPC: Registered udp transport module.
08:01:25/11:42:49|RPC: Registered tcp transport module.
08:01:25/11:42:49|eth0: XLlTemac: Options: 0x3fa
08:01:25/11:42:49|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
08:01:25/11:42:49|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
08:01:25/11:42:49|eth0: XLlTemac: speed set to 1000Mb/s
08:01:25/11:42:51|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
08:01:25/11:42:51|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
08:01:25/11:42:51|Sending DHCP requests .
08:01:25/11:42:53|eth0: XLlTemac: PHY Link carrier lost.
08:01:25/11:42:53|..... timed out!
08:01:25/11:44:15|IP-Config: Reopening network devices...
08:01:25/11:44:15|eth0: XLlTemac: Options: 0x3fa
08:01:25/11:44:16|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
08:01:25/11:44:16|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
08:01:25/11:44:16|eth0: XLlTemac: speed set to 1000Mb/s
08:01:25/11:44:18|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
08:01:25/11:44:18|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
08:01:25/11:44:18|Sending DHCP requests ...... timed out!
08:01:25/11:45:35|IP-Config: Auto-configuration of network failed.
08:01:25/11:45:35|Root-NFS: No NFS server available, giving up.
08:01:25/11:45:35|VFS: Unable to mount root fs via NFS, trying floppy.
08:01:25/11:45:35|VFS: Cannot open root device "nfs" or unknown-block(2,0)
08:01:25/11:45:35|Please append a correct "root=" boot option; here are the available partitions:
08:01:25/11:45:35|Kernel panic - not syncing: VFS: Unable to mount root fs on unknown-block(2,0)
08:01:25/11:45:35|Call Trace:
08:01:25/11:45:35|[c6827ed0] [c0005de8] show_stack+0x44/0x16c (unreliable)
08:01:25/11:45:35|[c6827f10] [c00345bc] panic+0x94/0x168
08:01:25/11:45:35|[c6827f60] [c0341d34] mount_block_root+0x12c/0x244
08:01:25/11:45:36|[c6827fb0] [c03420d8] prepare_namespace+0x17c/0x208
08:01:25/11:45:36|[c6827fd0] [c0341220] kernel_init+0x104/0x130
08:01:25/11:45:36|[c6827ff0] [c000e140] kernel_thread+0x4c/0x68
08:01:25/11:45:36|Rebooting in 180 seconds..
  681   Wed Jan 8 10:08:29 2025 JB, GB, SD, MP, CC, JGAIDA noise test with platform in position

Yesterday we spent time essentially performing a dry run to get AIDA DAQ and bPlast into the time sorter, there were some issues with the AIDA mbs PC x86l-119 which was related to some boot issue, it was booting to a newer version of debian while the Relay for AIDA to MBS is on an older version (scratch) (the machine is quite old). We spent a bit of time getting the thresholds correct for each of the ASICs. In the end we just elected to have a blanket level of 0x0f for all of the ASICs when we removed the pulser.

Last night we left the setup with the following thresholds on all of the FEEs to collect data. 681/1. The pulser was also turned off and the 22Na source was moved close to the snout.

This morning c. 9:25 we returned and checked the temperatures, HV and statistics and everything seems to be ok. The only problem was that the timesorter has crashed this morning at 8 am.

Attachment 1: Screenshot_from_2025-01-09_09-32-08.png
Screenshot_from_2025-01-09_09-32-08.png
Attachment 2: Screenshot_from_2025-01-09_09-35-11.png
Screenshot_from_2025-01-09_09-35-11.png
Attachment 3: Screenshot_from_2025-01-09_09-32-20.png
Screenshot_from_2025-01-09_09-32-20.png
Attachment 4: Screenshot_from_2025-01-09_09-31-58.png
Screenshot_from_2025-01-09_09-31-58.png
  680   Mon Dec 30 15:43:42 2024 TD[How To] Restart AnyDesk
See also https://elog.ph.ed.ac.uk/CARME/489

1. Establish port (to access carme-gsi)               ssh -L 8080:proxy.gsi.de:8080 carme@atppc025

   Establish port (to access aida-gsi)                ssh -L 3128:proxy.gsi.de:3128 despec@lxlogin

   Once a port is established it will remain accessible (until next system boot?) even if ssh connection drops/closes

2. Check AnyDesk password for remote access has been set up

3. Close anydesk and check it is *really* closed using

ps -o pid= -C anydesk

kill -9 any process (as root)

4 Restart AnyDesk

systemctl restart anydesk (as npg)
  679   Mon Dec 23 10:54:46 2024 TDMonday 23 December 2024
11.54 re-established remote access via AnyDesk - details to follow

      confirmed AIDA FEE64 power OFF, detector HV OFF


1. Establish port (to access carme-gsi)               ssh -L 8080:proxy.gsi.de:8080 carme@atppc025

   Establish port (to access aida-gsi)                ssh -L 3128:proxy.gsi.de:3128 despec@lxlogin

   Once a port is established it will remain accessible (until next system boot?) even if ssh connection drops/closes

2. Check AnyDesk password for remote access has been set up

3. Close anydesk and check it is *really* closed using

ps -o pid= -C anydesk

kill -9 any process (as root)

4 Restart AnyDesk

systemctl restart anydesk (as npg)
  678   Tue Dec 17 12:45:36 2024 JB, MP, CCAIDA timing test

 

Quote:

https://elog.gsi.de/despec/Implantation+Stack/9?suppress=1 - Day 1 ELOG

Day2:

10:14 we set up the detector with the pulser in BB7 and started biasing the detector and setting up the DAQ. Water flow and temperature check, OK. 677/1 677/2 677/3

FEE temps OK. Screenshots included for LOCAL controls for aida07, Discriminator for aida07, ASIC control for aida01 ASIC # 1,2,3,4 and the pulser setting - 1 V @ 10 Hz rep. 677/4 677/5 677/6 677/7 677/8 677/9

ASIC thresholds for the fast comparator LEC/MEC set to 0x20 for all the ASICs in aida10 - connected to BB7. Act on all ASICs did not work with this so each threshold was set to 0x20 on each ASIC by hand.

The pulser is now connected to BB7 test + and via a T-connector to the scope, triggering on the pulser signal. We saw now signals --> lower the threshold of the fast comparator to 1 MeV - 0x64 on all ASICs in aida10. 677/10

No signal was observed, threshold was then set to 0x190 (400 keV)

13:00 We resumed after lunch. It seems that our thresholds were way too high for starters each channel was actually 100 keV in HEX. We then set the thresholds in aida10 to 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4, respectively. This was to achieve a 10 Hz hit rate in all of the channels! This was done successfully see 677/12 for the hit rate spectrum and also 677/13-16 for the thresholds.

 

 

678/1 shows the AIDA OR64 trigger from the fast comparator of aida10.

678/2-4 show the bPlast accepted trigger after the bPlast DAQ has been triggered by the AIDA OR64. The images show a signal coming fast in time after the pulser and also a signal at around 80 us that appears to be coming from pile up.

The bPlast DAQ also now runs with the AIDA OR64 trigger after the NIM out signal from the MACB was sent to an octal discriminator to fix the width of the signal and also the pulse width which from TAMEX should be kept at or above 100 ns. The NIM signal from the MACB was mostly 100 ns but also jumping to signals with a 20ns pulse width.

 

Attachment 1: IMG_2536.jpeg
IMG_2536.jpeg
Attachment 2: 20241217_141148.jpg
20241217_141148.jpg
Attachment 3: 20241217_141206.jpg
20241217_141206.jpg
  677   Mon Dec 16 13:07:04 2024 JB, MP, CCAIDA timing test

https://elog.gsi.de/despec/Implantation+Stack/9?suppress=1 - Day 1 ELOG

Day2:

10:14 we set up the detector with the pulser in BB7 and started biasing the detector and setting up the DAQ. Water flow and temperature check, OK. 677/1 677/2 677/3

FEE temps OK. Screenshots included for LOCAL controls for aida07, Discriminator for aida07, ASIC control for aida01 ASIC # 1,2,3,4 and the pulser setting - 1 V @ 10 Hz rep. 677/4 677/5 677/6 677/7 677/8 677/9

ASIC thresholds for the fast comparator LEC/MEC set to 0x20 for all the ASICs in aida10 - connected to BB7. Act on all ASICs did not work with this so each threshold was set to 0x20 on each ASIC by hand.

The pulser is now connected to BB7 test + and via a T-connector to the scope, triggering on the pulser signal. We saw now signals --> lower the threshold of the fast comparator to 1 MeV - 0x64 on all ASICs in aida10. 677/10

No signal was observed, threshold was then set to 0x190 (400 keV) 677/11

13:00 We resumed after lunch. It seems that our thresholds were way too high for starters each channel was actually 100 keV in HEX. We then set the thresholds in aida10 to 0x32, 0x20, 0x20, 0x11 for ASIC 1,2,3,4, respectively. This was to achieve a 10 Hz hit rate in all of the channels! This was done successfully see 677/12 for the hit rate spectrum and also 677/13-16 for the thresholds.

 

 

Attachment 1: Screenshot_from_2024-12-17_10-15-42.png
Screenshot_from_2024-12-17_10-15-42.png
Attachment 2: Screenshot_from_2024-12-17_10-27-00.png
Screenshot_from_2024-12-17_10-27-00.png
Attachment 3: Screenshot_from_2024-12-17_10-21-15.png
Screenshot_from_2024-12-17_10-21-15.png
Attachment 4: Screenshot_from_2024-12-17_10-21-55.png
Screenshot_from_2024-12-17_10-21-55.png
Attachment 5: Screenshot_from_2024-12-17_10-22-20.png
Screenshot_from_2024-12-17_10-22-20.png
Attachment 6: Screenshot_from_2024-12-17_10-23-27.png
Screenshot_from_2024-12-17_10-23-27.png
Attachment 7: Screenshot_from_2024-12-17_10-23-32.png
Screenshot_from_2024-12-17_10-23-32.png
Attachment 8: Screenshot_from_2024-12-17_10-23-37.png
Screenshot_from_2024-12-17_10-23-37.png
Attachment 9: Screenshot_from_2024-12-17_10-23-42.png
Screenshot_from_2024-12-17_10-23-42.png
Attachment 10: Screenshot_from_2024-12-17_10-35-07.png
Screenshot_from_2024-12-17_10-35-07.png
Attachment 11: Screenshot_from_2024-12-17_10-39-36.png
Screenshot_from_2024-12-17_10-39-36.png
Attachment 12: Screenshot_from_2024-12-17_13-35-40.png
Screenshot_from_2024-12-17_13-35-40.png
Attachment 13: Screenshot_from_2024-12-17_13-35-45.png
Screenshot_from_2024-12-17_13-35-45.png
Attachment 14: Screenshot_from_2024-12-17_13-35-51.png
Screenshot_from_2024-12-17_13-35-51.png
Attachment 15: Screenshot_from_2024-12-17_13-35-56.png
Screenshot_from_2024-12-17_13-35-56.png
Attachment 16: Screenshot_from_2024-12-17_13-36-01.png
Screenshot_from_2024-12-17_13-36-01.png
  676   Sat Dec 14 11:52:42 2024 TDSaturday 14 December
11:00 Visual inspection FEE64 adaptor PCBs & cabling
      aida02 ground from/to other FEE64s disconnected - re-connected
      aida01, aida09, aida12 - ground cabling screws to Lemo 00.250 housings loose - tightemed

12:50 Cooling water pressure & temperature OK - attachments 1  2

12:59 relay #1 power ON

13:01 relay #2 power ON

13:07 aida06 starts - panic during startup, automatic restart following 3 minute timeout

      DAQ reset, setup

      Check ASIC Control - browser tab timeout 
      AIDA MIDAS HTTPD server console log - attachment 3

      Appears to have restored ASIC settings 2024Dec13-17.02.45 saved yesterday
    
      aida10 ASICs #1 & #2 positive input, ASICs #3 & #4 negative input
      slow comparator 0xa (all p+n junction FEE64s and aida10), 0xf (n+n Ohmic FEE64s)

13:28 tar ASIC settings - attachment 4

[npg@aidas-gsi]$ cd /MIDAS/FEE_ASIC
[npg@aidas-gsi FEE_ASIC]$ tar cvf /tmp/FEE_ASIC.tar .

       System wide checks

       Sync ASIC clocks - attachment 5

       Clock, ADC calibration, WR decoder, FPGA timestamp, PLL checks - attachments 6-11
        all OK *except* aida02 WR decoder error

       WR timestamps OK - attachment 12
       FEE64 temps OK - attachment 13
       
13:45 Detector bias ON - attachment 14      

      BNC PB-5 pulser settings - attachment 15
      Pulser connected to all p+n junction FEE64s *except* aida10

      ADC, DISC, PAUSE and MBS correlation scaler stats - attachments 16-19
       aida02 rate significantly lower than yesterday - https://elog.gsi.de/despec/Implantation+Stack/8
       high rates observed for aida08, aida11 and aida14 - which are not connected to a DSSSD!

      per FEE64 Rate spectra - attachment 20

      per p+n junction FEE64 1.8.L spectra - attachment 21
       aida09 pulser peak width 56 ch FWHM = 39 keV FWHM
       consistent electronic noise for all p+n junction FEE64s (cabling+DSSSD)
       electronic noise of p+n junction FEE64s (cabling *only*) higher and more variable cf. https://elog.gsi.de/despec/Implantation+Stack/8 attachment 5

      per p+n junction FEE64 1.8.W spectra 20us FSR - attachments 22-23

      per n+n Ohmic FEE64 1.8.W spectra 20us FSR - attachment 24 

      WR timestamps OK - attachment 25

14:43 DAQ STOP
      Data transfer enabled
      Select Tape Server -> Next Run
      DAQ GO  data file R3

      Merger, Tape Server - attachments 26-27
       data transfer rate c. 900k data items/s cf c. 300k data items/s yesterday https://elog.gsi.de/despec/Implantation+Stack/8

16:45 DAQ STOP

      Data transfer disabled 

      Detector bias OFF

      FEE64 power OFF
Attachment 1: 20241214_125048.jpg
20241214_125048.jpg
Attachment 2: 20241214_125104.jpg
20241214_125104.jpg
Attachment 3: asic_check.log
executing do_PreReset
 ::MASTERTS namespace already exists
done executing do_PreReset
executing do_PostSetup
done executing do_PostSetup 
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida01
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida01
Second load re-activated at 0x0000401c  for FEE aida01 
Shifting out finished at 0 counts 
Read the control register from FEE aida01 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida01
Second load re-activated at 0x0000405c  for FEE aida01 
Shifting out finished at 0 counts 
Read the control register from FEE aida01 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida01
Second load re-activated at 0x0000409c  for FEE aida01 
Shifting out finished at 0 counts 
Read the control register from FEE aida01 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida01
Second load re-activated at 0x000040dc  for FEE aida01 
Shifting out finished at 0 counts 
Read the control register from FEE aida01 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida02
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida02
Second load re-activated at 0x0000401c  for FEE aida02 
Shifting out finished at 0 counts 
Read the control register from FEE aida02 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida02
Second load re-activated at 0x0000405c  for FEE aida02 
Shifting out finished at 0 counts 
Read the control register from FEE aida02 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida02
Second load re-activated at 0x0000409c  for FEE aida02 
Shifting out finished at 0 counts 
Read the control register from FEE aida02 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida02
Second load re-activated at 0x000040dc  for FEE aida02 
Shifting out finished at 0 counts 
Read the control register from FEE aida02 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida03
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida03
Second load re-activated at 0x0000401c  for FEE aida03 
Shifting out finished at 0 counts 
Read the control register from FEE aida03 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida03
Second load re-activated at 0x0000405c  for FEE aida03 
Shifting out finished at 0 counts 
Read the control register from FEE aida03 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida03
Second load re-activated at 0x0000409c  for FEE aida03 
Shifting out finished at 0 counts 
Read the control register from FEE aida03 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida03
Second load re-activated at 0x000040dc  for FEE aida03 
Shifting out finished at 0 counts 
Read the control register from FEE aida03 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida04
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida04
Second load re-activated at 0x0000401c  for FEE aida04 
Shifting out finished at 0 counts 
Read the control register from FEE aida04 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida04
Second load re-activated at 0x0000405c  for FEE aida04 
Shifting out finished at 0 counts 
Read the control register from FEE aida04 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida04
Second load re-activated at 0x0000409c  for FEE aida04 
Shifting out finished at 0 counts 
Read the control register from FEE aida04 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida04
Second load re-activated at 0x000040dc  for FEE aida04 
Shifting out finished at 0 counts 
Read the control register from FEE aida04 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida05
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida05
Second load re-activated at 0x0000401c  for FEE aida05 
Shifting out finished at 0 counts 
Read the control register from FEE aida05 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida05
Second load re-activated at 0x0000405c  for FEE aida05 
Shifting out finished at 0 counts 
Read the control register from FEE aida05 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida05
Second load re-activated at 0x0000409c  for FEE aida05 
Shifting out finished at 0 counts 
Read the control register from FEE aida05 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida05
Second load re-activated at 0x000040dc  for FEE aida05 
Shifting out finished at 0 counts 
Read the control register from FEE aida05 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida06
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida06
Second load re-activated at 0x0000401c  for FEE aida06 
Shifting out finished at 0 counts 
Read the control register from FEE aida06 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida06
Second load re-activated at 0x0000405c  for FEE aida06 
Shifting out finished at 0 counts 
Read the control register from FEE aida06 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida06
Second load re-activated at 0x0000409c  for FEE aida06 
Shifting out finished at 0 counts 
Read the control register from FEE aida06 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida06
Second load re-activated at 0x000040dc  for FEE aida06 
Shifting out finished at 0 counts 
Read the control register from FEE aida06 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida07
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida07
Second load re-activated at 0x0000401c  for FEE aida07 
Shifting out finished at 0 counts 
Read the control register from FEE aida07 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida07
Second load re-activated at 0x0000405c  for FEE aida07 
Shifting out finished at 0 counts 
Read the control register from FEE aida07 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida07
Second load re-activated at 0x0000409c  for FEE aida07 
Shifting out finished at 0 counts 
Read the control register from FEE aida07 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida07
Second load re-activated at 0x000040dc  for FEE aida07 
Shifting out finished at 0 counts 
Read the control register from FEE aida07 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida08
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida08
Second load re-activated at 0x0000401c  for FEE aida08 
Shifting out finished at 0 counts 
Read the control register from FEE aida08 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida08
Second load re-activated at 0x0000405c  for FEE aida08 
Shifting out finished at 0 counts 
Read the control register from FEE aida08 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida08
Second load re-activated at 0x0000409c  for FEE aida08 
Shifting out finished at 0 counts 
Read the control register from FEE aida08 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida08
Second load re-activated at 0x000040dc  for FEE aida08 
Shifting out finished at 0 counts 
Read the control register from FEE aida08 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida09
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida09
Second load re-activated at 0x0000401c  for FEE aida09 
Shifting out finished at 0 counts 
Read the control register from FEE aida09 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida09
Second load re-activated at 0x0000405c  for FEE aida09 
Shifting out finished at 0 counts 
Read the control register from FEE aida09 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida09
Second load re-activated at 0x0000409c  for FEE aida09 
Shifting out finished at 0 counts 
Read the control register from FEE aida09 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida09
Second load re-activated at 0x000040dc  for FEE aida09 
Shifting out finished at 0 counts 
Read the control register from FEE aida09 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida10
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida10
Second load re-activated at 0x0000401c  for FEE aida10 
Shifting out finished at 0 counts 
Read the control register from FEE aida10 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida10
Second load re-activated at 0x0000405c  for FEE aida10 
Shifting out finished at 0 counts 
Read the control register from FEE aida10 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida10
Second load re-activated at 0x0000409c  for FEE aida10 
Shifting out finished at 0 counts 
Read the control register from FEE aida10 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida10
Second load re-activated at 0x000040dc  for FEE aida10 
Shifting out finished at 0 counts 
Read the control register from FEE aida10 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida11
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida11
Second load re-activated at 0x0000401c  for FEE aida11 
Shifting out finished at 0 counts 
Read the control register from FEE aida11 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida11
Second load re-activated at 0x0000405c  for FEE aida11 
Shifting out finished at 0 counts 
Read the control register from FEE aida11 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida11
Second load re-activated at 0x0000409c  for FEE aida11 
Shifting out finished at 0 counts 
Read the control register from FEE aida11 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida11
Second load re-activated at 0x000040dc  for FEE aida11 
Shifting out finished at 0 counts 
Read the control register from FEE aida11 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida12
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida12
Second load re-activated at 0x0000401c  for FEE aida12 
Shifting out finished at 0 counts 
Read the control register from FEE aida12 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida12
Second load re-activated at 0x0000405c  for FEE aida12 
Shifting out finished at 0 counts 
Read the control register from FEE aida12 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida12
Second load re-activated at 0x0000409c  for FEE aida12 
Shifting out finished at 0 counts 
Read the control register from FEE aida12 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida12
Second load re-activated at 0x000040dc  for FEE aida12 
Shifting out finished at 0 counts 
Read the control register from FEE aida12 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida13
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida13
Second load re-activated at 0x0000401c  for FEE aida13 
Shifting out finished at 0 counts 
Read the control register from FEE aida13 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida13
Second load re-activated at 0x0000405c  for FEE aida13 
Shifting out finished at 0 counts 
Read the control register from FEE aida13 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida13
Second load re-activated at 0x0000409c  for FEE aida13 
Shifting out finished at 0 counts 
Read the control register from FEE aida13 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida13
Second load re-activated at 0x000040dc  for FEE aida13 
Shifting out finished at 0 counts 
Read the control register from FEE aida13 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida14
checking asic 1
Loaded ASIC 1 at 0x0000401c for FEE aida14
Second load re-activated at 0x0000401c  for FEE aida14 
Shifting out finished at 0 counts 
Read the control register from FEE aida14 ASIC 1
checking asic 2
Loaded ASIC 2 at 0x0000405c for FEE aida14
Second load re-activated at 0x0000405c  for FEE aida14 
Shifting out finished at 0 counts 
Read the control register from FEE aida14 ASIC 2
checking asic 3
Loaded ASIC 3 at 0x0000409c for FEE aida14
Second load re-activated at 0x0000409c  for FEE aida14 
Shifting out finished at 0 counts 
Read the control register from FEE aida14 ASIC 3
checking asic 4
Loaded ASIC 4 at 0x000040dc for FEE aida14
Second load re-activated at 0x000040dc  for FEE aida14 
Shifting out finished at 0 counts 
Read the control register from FEE aida14 ASIC 4
ASIC.tcl :- CheckASIC fetched file /MIDAS/FEE_ASIC => aida15
... 379 more lines ...
Attachment 4: FEE_ASIC.tar
Attachment 5: Screenshot_from_2024-12-14_13-30-48.png
Screenshot_from_2024-12-14_13-30-48.png
Attachment 6: Screenshot_from_2024-12-14_13-30-54.png
Screenshot_from_2024-12-14_13-30-54.png
Attachment 7: Screenshot_from_2024-12-14_13-31-02.png
Screenshot_from_2024-12-14_13-31-02.png
Attachment 8: Screenshot_from_2024-12-14_13-35-22.png
Screenshot_from_2024-12-14_13-35-22.png
Attachment 9: Screenshot_from_2024-12-14_13-35-28.png
Screenshot_from_2024-12-14_13-35-28.png
Attachment 10: Screenshot_from_2024-12-14_13-35-33.png
Screenshot_from_2024-12-14_13-35-33.png
Attachment 11: Screenshot_from_2024-12-14_13-36-06.png
Screenshot_from_2024-12-14_13-36-06.png
Attachment 12: Screenshot_from_2024-12-14_13-36-27.png
Screenshot_from_2024-12-14_13-36-27.png
Attachment 13: Screenshot_from_2024-12-14_13-36-42.png
Screenshot_from_2024-12-14_13-36-42.png
Attachment 14: Screenshot_from_2024-12-14_13-44-29.png
Screenshot_from_2024-12-14_13-44-29.png
Attachment 15: Screenshot_from_2024-12-14_13-46-41.png
Screenshot_from_2024-12-14_13-46-41.png
Attachment 16: Screenshot_from_2024-12-14_13-54-58.png
Screenshot_from_2024-12-14_13-54-58.png
Attachment 17: Screenshot_from_2024-12-14_13-55-19.png
Screenshot_from_2024-12-14_13-55-19.png
Attachment 18: Screenshot_from_2024-12-14_13-55-33.png
Screenshot_from_2024-12-14_13-55-33.png
Attachment 19: Screenshot_from_2024-12-14_13-56-00.png
Screenshot_from_2024-12-14_13-56-00.png
Attachment 20: Screenshot_from_2024-12-14_14-10-08.png
Screenshot_from_2024-12-14_14-10-08.png
Attachment 21: Screenshot_from_2024-12-14_14-14-18.png
Screenshot_from_2024-12-14_14-14-18.png
Attachment 22: Screenshot_from_2024-12-14_14-26-30.png
Screenshot_from_2024-12-14_14-26-30.png
Attachment 23: Screenshot_from_2024-12-14_14-27-16.png
Screenshot_from_2024-12-14_14-27-16.png
Attachment 24: Screenshot_from_2024-12-14_14-29-24.png
Screenshot_from_2024-12-14_14-29-24.png
Attachment 25: Screenshot_from_2024-12-14_14-42-40.png
Screenshot_from_2024-12-14_14-42-40.png
Attachment 26: Screenshot_from_2024-12-14_14-43-51.png
Screenshot_from_2024-12-14_14-43-51.png
Attachment 27: Screenshot_from_2024-12-14_14-43-58.png
Screenshot_from_2024-12-14_14-43-58.png
  675   Wed Dec 11 14:46:21 2024 TD, JB, CC, MPMounting of AIDA, bPlast and BB7 for test

Snout assembled for detector position and timing test.

The planned test is to use a BGO with a 22-Na source and the implantation stack to characterise the timing and position of back-to-back 511 keV events (proxy for mock beta decay event).

The implantation stack is assembled as follows:

Upstream ----> AIDA test frame (as a spacer) ----> AIDA DSSSD (3208-3/3208-21/3208-22, Upstream AIDA used in S100 & S181, April 2024) ----> bPlast (Downstream bPlast) ----> BB7 (mounted in test frame connected to AIDA adaptor) ----> Downstream

The AIDA DSSSD condition seems to be the same as when it was dismounted after S181 and it, apart from some specks of dust no bond wires appear to be broken to the naked eye.

BGO signals appear on the scope with a 22-Na source but the response is quite messy.

 

 

17:36

MSL type BB18(DS)-1000 24cm  x 8cm DSSSD upstream position within snout using 8x upstream (23") ribbon cables 

MSL type BB(DS)-1000 positioned downstream of downstream bPlast within snout using 1x downstream (29") ribbon cable. For BB7 'isolated' Right Coupler Kapton PCB replaced by unmodified Right Coupler Kapton PCB.

 

 

 

Attachment 1: 20241211_161837.jpg
20241211_161837.jpg
Attachment 2: 20241211_161833.jpg
20241211_161833.jpg
Attachment 3: 20241211_161830.jpg
20241211_161830.jpg
Attachment 4: 20241211_161820.jpg
20241211_161820.jpg
  674   Mon Dec 9 12:28:01 2024 NH, CC, MPTest of BB7 on AIDA Electronics
BB7 connected to aida07, sitting in a cardboard box

Update Tcl/Tml files in ASIC, ASIC4 and sys.tml frm PJCS email to allow setting per-ASIC polarity on FEE64
Restart MIDAS@8015

Power on all FEEs

Note nnrpi is not responding to ssh... MP investigates if it ahs been unplugged
 No, but a powercycle worked and fixed it

See error: /MIDAS/FEE_ASIC/aida06/ASICs.txt  not found, create the folders

We see some data in the L spectra, and changing the settings from one ASIC seems to work properly

Stopped for CC/MP to determine the which ASICs correspond to p+n and n+n

Follow up: Alpha data overnight or source?
  673   Thu Dec 5 11:18:46 2024 TDOffline analysis S100 data files R21_0-R21_99
Offline analysis of S100 data files R21_0 - R21_99 (162Eu setting)

first WR ts
First timestamp of R21_0 0x17CA09154AE3E636

Epoch converter says ...

GMT: Saturday, April 27, 2024 4:36:35.223 AM
Your time zone: Saturday, April 27, 2024 5:36:35.223 AM GMT+01:00 DST

last WR ts
First timestamp of R21_100 0x17CA16C1904150CE

GMT: Saturday, April 27, 2024 8:47:08.772 AM
Your time zone: Saturday, April 27, 2024 9:47:08.772 AM GMT+01:00 DST


FEE64 configuration

FEE64   a b c 
      g       h
        d e f

         a  b  c  d  e  f  g  h
DSSSD#1 15  3 12  9  1  5  2  4
DSSSD#2 11  7 16 10 14 13  6  8

n+n Ohmic FEE64s 2, 4, 6, 8

Data analysis assumes

- all LEC ADC data channels with valid ADC offset included (1012 of 1024 channels)
      LEC calibration ADC offset only

- no clustering

- no multiplex timestamp correction

- no p+n junction side - n+n Ohmic side correlation time gates

- FEE64 *not* DSSSD strip ordering

- hardware - slow comparator setting p+n junction FEE64s 100keV, n+n Ohmic FEE64s 150keV

- LEC energy difference +/-168keV

- HEC energy difference +/- 1.68GeV

- valid LEC events

   DSSSD #1
   p+n junction side multiplicity = 1 and n+n Ohmic side multiplicity = 1
   DSSSD #2 
   0 < p+n junction side multiplicity < 8 
   and
   0 < n+n Ohmic side multiplicity < 8 

   151keV < LEC energy < 1008keV
    to select candidate beta events and veto higher energy events e.g. light ions
    standalone analysis of AIDA data, no downstream veto detector

- valid HEC events
   p+n junction side multiplicity > 0 and n+n Ohmic side multiplicity > 0

  (x,y) strips corresponding to maximum energy
  p+n junction and n+n Ohmic side HEC 

- HEC veto 
   p+n junction side multiplicity > 0 or n+n Ohmic side multiplicity > 0

- per pixel implant-decay correlations

- end of event 
   difference in WR timestamp between successive ADC data items > 2500

Attachments 1-4
per DSSSD p+n junction - n+n Ohmic strip time difference for HEC and LEC events (2us/channel) linear and log scale

- observe large (> 32us) time differences (on log scale)

- range of time differences increases with multiplicity ( DSSSD#1 cf. DSSSD#2 LEC events)

- distribution of HEC time differences can probably be understood in terms of most/all channels of ASIC being active during HEC event with low LEC thresholds

- AIDA is a triggerless DAQ producing streams of ADC data items *not* events
   at high instantaneous rates when events are constructed they may become aggregated in time i.e. > 32us readout time of all channels of one ASIC

- To investigate impose additional end of event criterion 
   difference in first and last WR timestamp of event < 33us

Attachments 5-6
per DSSSD p+n junction - n+n Ohmic strip time difference for HEC and LEC events (2us/channel) linear and log scale

- blue original end of event criteria, cyan new end of event criteria

- as expected range of time differences is restricted to +/- 32us 

- observe somewhat higher fraction of events with low time differences
 
  DSSSD #1 10363098 of 16104322 (64%) events +/-2us 

  DSSSD #2 860454912 of 1766618199 (49%) events +/-2us

Attachment 7
per DSSSD p+n junction - n+n Ohmic strip time difference for LEC events - x-axis 2us/channel, y-axis 20keV /channel


Attachment 8 per FEE64 LEC data rate (Hz) 268ms/channel
Attachment 9 per FEE64 LEC data rate (Hz) 268ms/channel: 150keV < energy < 1500keV
Attachment 10 per FEE64 LEC data rate (Hz) 268ms/channel: energy > 1500keV

- observe high instantaneous rate on spill
- rate dominated by low energy (<1500keV) events
- rate of higher energy events dominated by on spill events i.e. light ions as expected
- significant deadtime on spill for n+n Ohmic FEE64s, low deadtime off spill
- deadtime low/zero for p+n junction FEE64s on/off spill

Attachment 11 per FEE64 LEC hit pattern: 150keV < energy < 1500keV
Attachment 12 per FEE64 LEC hit pattern: energy > 1500keV


Attachment 13 per FEE64 HEC data rate (Hz) 268ms/channel
Attachment 14 per FEE64 HEC data rate (Hz) 268ms/channel: 100MeV < energy < 1000MeV
Attachment 15 per FEE64 HEC data rate (Hz) 268ms/channel: energy > 1000MeV

- rate dominated by low energy (>1GeV) events
- all HEC events on spill as expected (note FEE64 #7 has a single hot channel which can be disabled in software)
- significant deadtime on spill for n+n Ohmic FEE64s, low deadtime off spill
- deadtime low/zero for p+n junction FEE64s on/off spill

Attachment 16 per DSSSD p+n junction versus n+n Ohmic LEC energy - x-axis & y-axis 20keV/channel

Attachment 17 per DSSSD p+n junction versus n+n Ohmic HEC energy - x-axis & y-axis 20MeV/channel

Attachment 18 per DSSSD p+n junction versus n+n Ohmic HEC strip hit pattern: all HEC events

Attachment 19 per DSSSD p+n junction versus n+n Ohmic HEC strip hit pattern
 DSSSD #1 ions stopped in DSSSD #1 i.e. DSSSD #2 HEC multiplicity = 0
 DSSSD #1 shows x-y gate used ( 270 < x < 370, 20 < y < 90 ) to identify 166Tb implants
 DSSSD #2 ions stopped in DSSSD #2 *and* in transmission (can establish which ions stop in DSSSD#2 from DSSSD#2 HEC energy versus DSSSD#1 HEC energy - see https://elog.ph.ed.ac.uk/DESPEC/672

Attachment 20

DSSSD#1 HEC energy (20MeV/channel) versus HEC-LEC dt (1s/channel)

DSSSD#1 LEC energy (20keV/channel) versus HEC-LEC dt (1s/channel)

DSSSD#1 HEC strip # versus HEC-LEC dt (1s/channel)

- Observe # events in every third channel is lower 
- Probably reflects implant-decay correlation livetime
  For example (choosing some numbers for illustrative purposes)
  on spill: HEC livetime 75%, LEC livetime 75% (FEE64 deadtime common for HEC and LEC data) => implant decay correlation livetime 56%
  off spill: HEC live time 75%, LEC livetime 100% =>  implant decay correlation livetime 75%
- Observe 'hot' x channels 315, 318, 321, 324 - disabled for further analysis
- Do not observe any 'hot' y channels 


Attachments 21 & 22

DSSSD#1 per pixel HEC-LEC time (1s/channel): x,y,z gated to select 166Tb events

Naive (parent-daughter decay only, flat background) fit for data t=0-26s ( t1/2 = 27.1(3)s )

Fit ignores data for t=0, 3, 6, 10, 13, 16, 19, 22s to avoid bias of differences in implant-decay correlation deadtime

Suggestion of structure at c. 30s period? Does this reflect spill stucture? 10x spill cycles (30s), 9s spill off, ... etc

Sum of x,y,z gated HEC events (s2112 - see attachment 19) = 670441

Elapsed time of dataset 4h11m = 15060s
# pixels = 100 x 70 = 7000
=> # x,y,z gated HEC events per pixel = 670441/7000/15060 = 0.0064/s or mean time between x,y,z gated HEC event = 157s (estimate needs to be corrected for HEC deadtime)

Sum of implant decay correlations (s2220 - see attachment 21) t=0-150s = 273508 - flat background estimated as 150 x 500 = 75000 = 198508

=> efficiency c. 30% (presumably low due to implant-decay deadtime, LEC multiplicity, per pixel correlations and no clustering)


Summary

$64,000 question - what is the origin of the high instantaneous rate on spill  for DSSSD#1 ? On my to do list.


Attachment 23

LEC multiplicity with/without HEC data in event

per DSSSD LEC p+n junction multiplicity versus n+n Ohmic multiplicity
per DSSSD LEC p+n junction multiplicity versus n+n Ohmic multiplicity z_hec=1 and z_hec=2


With HEC data

DSSSD#1 p+n junction multiplicity ~ 17, n+n Ohmic multiplicity ~28
DSSSD#2 p+n junction multiplicity ~ 40, n+n Ohmic multiplicity ~23

Assume 200Hz HEC events => DSSSD#1 LEC rate = 200 x ( 17 + 28 ) => 9k LEC data items cf. >100k LEC data items (attachments 8 & 13)

i.e. not due to HEC events








 
 


 







   
Attachment 1: Screenshot_from_2024-12-05_11-17-50.png
Screenshot_from_2024-12-05_11-17-50.png
Attachment 2: Screenshot_from_2024-12-05_11-18-13.png
Screenshot_from_2024-12-05_11-18-13.png
Attachment 3: Screenshot_from_2024-12-05_11-04-40.png
Screenshot_from_2024-12-05_11-04-40.png
Attachment 4: Screenshot_from_2024-12-05_11-05-03.png
Screenshot_from_2024-12-05_11-05-03.png
Attachment 5: Screenshot_from_2024-12-05_11-07-58.png
Screenshot_from_2024-12-05_11-07-58.png
Attachment 6: Screenshot_from_2024-12-05_11-09-55.png
Screenshot_from_2024-12-05_11-09-55.png
Attachment 7: Screenshot_from_2024-12-04_16-56-02.png
Screenshot_from_2024-12-04_16-56-02.png
Attachment 8: Screenshot_from_2024-12-04_16-39-09.png
Screenshot_from_2024-12-04_16-39-09.png
Attachment 9: Screenshot_from_2024-12-04_16-39-59.png
Screenshot_from_2024-12-04_16-39-59.png
Attachment 10: Screenshot_from_2024-12-04_16-41-20.png
Screenshot_from_2024-12-04_16-41-20.png
Attachment 11: Screenshot_from_2024-12-04_16-41-54.png
Screenshot_from_2024-12-04_16-41-54.png
Attachment 12: Screenshot_from_2024-12-04_16-42-37.png
Screenshot_from_2024-12-04_16-42-37.png
Attachment 13: Screenshot_from_2024-12-04_16-43-36.png
Screenshot_from_2024-12-04_16-43-36.png
Attachment 14: Screenshot_from_2024-12-04_16-44-13.png
Screenshot_from_2024-12-04_16-44-13.png
Attachment 15: Screenshot_from_2024-12-04_16-44-50.png
Screenshot_from_2024-12-04_16-44-50.png
Attachment 16: Screenshot_from_2024-12-04_16-46-26.png
Screenshot_from_2024-12-04_16-46-26.png
Attachment 17: Screenshot_from_2024-12-04_16-50-32.png
Screenshot_from_2024-12-04_16-50-32.png
Attachment 18: Screenshot_from_2024-12-04_16-49-12.png
Screenshot_from_2024-12-04_16-49-12.png
Attachment 19: Screenshot_from_2024-12-04_16-49-57.png
Screenshot_from_2024-12-04_16-49-57.png
Attachment 20: Screenshot_from_2024-12-04_16-52-09.png
Screenshot_from_2024-12-04_16-52-09.png
Attachment 21: Screenshot_from_2024-12-04_16-51-30.png
Screenshot_from_2024-12-04_16-51-30.png
Attachment 22: 166Tb.png
166Tb.png
Attachment 23: Screenshot_from_2024-12-07_16-57-28.png
Screenshot_from_2024-12-07_16-57-28.png
  672   Wed Dec 4 09:57:24 2024 TDHISPEC DESPEC meeting presentation - November 2024 -0 Summary of AIDA performance 2024
Attachment 1: Summary_of_AIDA_2024_distro.pdf
Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf Summary_of_AIDA_2024_distro.pdf
  671   Tue Nov 19 11:24:25 2024 TDAnydesk restarted remotely
Anydesk restarted remotely per https://elog.ph.ed.ac.uk/CARME/489
  670   Tue Nov 5 15:19:35 2024 TDS505 offline analysis R5_780 - R5_814
Offline analysis of data files S505/R5_780 - R5_814 (corresponding to MBS data files 73-74) 

Can find an analysis of alpha background runs at RIBF, RIKEN for comparison at https://elog.ph.ed.ac.uk/AIDA/816

Beam off - background runs without sources

MBS 73 27.6.22 09:33-11:33 CEST https://elog.gsi.de/despec/S505/204

MBS 74 27.6.22 11:34-13:34 CEST https://elog.gsi.de/despec/S505/206

MBS 75 27.6.22 13:35-16:29 CEST https://elog.gsi.de/despec/S505/209

ADC offsets per https://elog.ph.ed.ac.uk/DESPEC/556

FEE64 configuration

FEE64   a b c d
DSSSD#1 3 4 1 2
DSSSD#2 7 8 5 6

p+n junction FE64s odd numbered


Data analysis assumes

- all LEC ADC data channels with valid ADC offset included (474 of 512 channels)
- no clustering
- no p+n junction side - n+n Ohmic side correlation time gates
- valid LEC events
   0 < p+n junction side multiplicity < 8 
   and
   0 < n+n Ohmic side multiplicity < 8 


Attachments 1-2 - per DSSSD p+n versus n+n multiplicity

Attachment 3 - per DSSSD x versus y

Attachments 4-5 - per DSSSD p+n versus n+n energy (20keV/channel nominal)
                  all combinations of per DSSSD p+n junction and n+n Ohmic energies 
                  with projection of data within window onto x and y axes

                  too many events for natural (U decay series) background
                  off leading diagonal correlations anomalous
                  transverse width of leading diagonal correlation wider than expected - ADC offsets OK?
                 

Attachment 6 - per FEE64 WR timestamp (32.768us/channel)
               FEE64 sync test using pulser data - looks OK

Attachments 7-14 - per FEE64 ADC spectra (5.6keV/channel nominal)
                   note common x/y scale - pulser peak height proxy for peak width

per FEE64 1.8.L pulser peak widths (ch FWHM)

1 11.43
2 16.58
3 12.52
4 17.54
5 9.10
6 12.22
7 17.03
8 16.09

3 of 4 p+n junction FEE64 good (<70keV), 1 of 4 n+n Ohmic FEE64 good - all others < 100keV
Pulser peak indicates noise/gain/offset stable throughout background runs


Attachments 15-22 - per FEE64 ADC spectra (5.6kleV/channel nominal)
                    observe broad peak-like structures (c. 2.8MeV) in first channel of most ASICs? 

Attachment 23 per DSSSD p+n versus n+n energy (20keV/channel nominal)
              valid LEC events
              p+n junction side multiplicity = 1 n+n Ohmic side multiplicity = 1

Attachment 24 p+n FEE64 #0 energy versus each of n+n FEE64s (#1, #3, #5 and #7) energies (20keV/channel nominal)
              valid LEC events
              p+n junction side multiplicity = 1 n+n Ohmic side multiplicity = 1

Attachments 23 & 24 do not unambiguously identify which FEE64s are attached to which DSSSD
                  
Attachment 1: Screenshot_from_2024-11-06_10-16-55.png
Screenshot_from_2024-11-06_10-16-55.png
Attachment 2: Screenshot_from_2024-11-06_10-18-43.png
Screenshot_from_2024-11-06_10-18-43.png
Attachment 3: Screenshot_from_2024-11-06_10-19-38.png
Screenshot_from_2024-11-06_10-19-38.png
Attachment 4: Screenshot_from_2024-11-06_10-21-13.png
Screenshot_from_2024-11-06_10-21-13.png
Attachment 5: Screenshot_from_2024-11-06_10-23-42.png
Screenshot_from_2024-11-06_10-23-42.png
Attachment 6: Screenshot_from_2024-11-06_10-47-04.png
Screenshot_from_2024-11-06_10-47-04.png
Attachment 7: Screenshot_from_2024-11-06_10-49-16.png
Screenshot_from_2024-11-06_10-49-16.png
Attachment 8: Screenshot_from_2024-11-06_10-50-17.png
Screenshot_from_2024-11-06_10-50-17.png
Attachment 9: Screenshot_from_2024-11-06_10-50-59.png
Screenshot_from_2024-11-06_10-50-59.png
Attachment 10: Screenshot_from_2024-11-06_10-51-48.png
Screenshot_from_2024-11-06_10-51-48.png
Attachment 11: Screenshot_from_2024-11-06_10-52-49.png
Screenshot_from_2024-11-06_10-52-49.png
Attachment 12: Screenshot_from_2024-11-06_10-54-12.png
Screenshot_from_2024-11-06_10-54-12.png
Attachment 13: Screenshot_from_2024-11-06_10-55-07.png
Screenshot_from_2024-11-06_10-55-07.png
Attachment 14: Screenshot_from_2024-11-06_10-55-56.png
Screenshot_from_2024-11-06_10-55-56.png
Attachment 15: Screenshot_from_2024-11-06_11-01-47.png
Screenshot_from_2024-11-06_11-01-47.png
Attachment 16: Screenshot_from_2024-11-06_11-02-48.png
Screenshot_from_2024-11-06_11-02-48.png
Attachment 17: Screenshot_from_2024-11-06_11-04-21.png
Screenshot_from_2024-11-06_11-04-21.png
Attachment 18: Screenshot_from_2024-11-06_11-05-09.png
Screenshot_from_2024-11-06_11-05-09.png
Attachment 19: Screenshot_from_2024-11-06_11-06-16.png
Screenshot_from_2024-11-06_11-06-16.png
Attachment 20: Screenshot_from_2024-11-06_11-07-16.png
Screenshot_from_2024-11-06_11-07-16.png
Attachment 21: Screenshot_from_2024-11-06_11-08-07.png
Screenshot_from_2024-11-06_11-08-07.png
Attachment 22: Screenshot_from_2024-11-06_11-09-09.png
Screenshot_from_2024-11-06_11-09-09.png
Attachment 23: Screenshot_from_2024-11-08_03-36-38.png
Screenshot_from_2024-11-08_03-36-38.png
Attachment 24: Screenshot_from_2024-11-08_03-39-13.png
Screenshot_from_2024-11-08_03-39-13.png
  669   Wed Aug 14 12:40:11 2024 JBRepaired DSSSD delivery 14.09.2024

Three BB18-1000 triples AIDAs collected on 14.09.2024

Find attached visual of the wafer and bond wire + factory bias tests accompanying the DSSSDs. elog:669/1 elog:669/2 elog:669/3

Visual inspection carried out showed that bond wires have been fixed + fingerprint on one DSSSD removed and wires repaired. elog:669/4 elog:669/5 elog:669/6 elog:669/7 elog:669/8 elog:669/9 elog:669/10 elog:669/11

DSSSD 1 (defect bias issue 80V): 3208-10 / 3208-18 / 3208-20

DSSSD 2  (3208-6 dysfunctional): 3208-6 / 3208-9 / 3208-16

DSSSD 3 (defect fingerprint): 3131-5 / 3131-10 / 3131-12

 

Attachment 1: doc00418120240814132739.pdf
doc00418120240814132739.pdf
Attachment 2: doc00418020240814132419.pdf
doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf doc00418020240814132419.pdf
Attachment 3: doc00417920240814132325.pdf
doc00417920240814132325.pdf doc00417920240814132325.pdf doc00417920240814132325.pdf
Attachment 4: 20240814_132617.jpg
20240814_132617.jpg
Attachment 5: 20240814_133316.jpg
20240814_133316.jpg
Attachment 6: 20240814_133306.jpg
20240814_133306.jpg
Attachment 7: 20240814_133225.jpg
20240814_133225.jpg
Attachment 8: 20240814_133321.jpg
20240814_133321.jpg
Attachment 9: 20240814_133328.jpg
20240814_133328.jpg
Attachment 10: 20240814_133300.jpg
20240814_133300.jpg
Attachment 11: 20240814_133313.jpg
20240814_133313.jpg
  668   Sun Jul 14 16:52:28 2024 TDS181 R7_38-44
Attachment 1: R7_44.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257387880 ( 1999547.7 Hz)
        Other data format:    4532120 (   35208.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1033 (       8.0 Hz)
                               RESUME:       1034 (       8.0 Hz)
                              SYNC100:      32479 (     252.3 Hz)
                              WR48-63:      32479 (     252.3 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4465095 (   34687.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     632762 (    4915.7 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      128.723 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.236                0.000
  1                1.555                0.000
  2                0.113                0.000
  3                0.947                0.000
  4                0.002                0.000
  5                1.755                0.000
  6                0.004                0.000
  7               10.333                0.000
  8                0.007                0.000
  9                2.936                0.000
 10                2.071                0.000
 11                0.002                0.000
 12                0.000                0.000
 13                0.489                0.000
 14                0.000                0.000
 15                1.734                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14350588       7653          0          0         30         30       1869       1869          0       3855          0      17361
  1   13486473       3480          0          0         46         46       1694       1694          0          0          0      37855
  2   14703665    1320670          0          0         15         15       2021       2021          0    1316598          0      23155
  3   19664164       4986          0          0         55         55       2438       2438          0          0          0      30308
  4    5751938     622359          0          0          1          1        800        800          0     620757          0      10363
  5   18754826       4878          0          0        135        135       2304       2304          0          0          0      35466
  6    5749940     466689          0          0          1          1        788        788          0     465111          0      22939
  7   43562502      11323          0          0        235        236       5426       5426          0          0          0     306189
  8    6498781       1588          0          0          3          3        791        791          0          0          0      27083
  9   35613051    1294837          0          0        123        123       4631       4631          0    1285329          0      25629
 10   18394694       5004          0          0        235        235       2267       2267          0          0          0      15231
 11    4718587     774761          0          0          1          1        657        657          0     773445          0      24458
 12    4562825       1124          0          0          0          0        562        562          0          0          0       6576
 13   14049104       3450          0          0         62         62       1663       1663          0          0          0      22623
 14    5429441       1318          0          0          0          0        659        659          0          0          0      16486
 15   32097301       8000          0          0         91         91       3909       3909          0          0          0      11040
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.094s ( 1099.893 blocks/s,  68.743 Mb/s)
Attachment 2: R7_43.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257380554 ( 1952632.9 Hz)
        Other data format:    4539446 (   34438.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1049 (       8.0 Hz)
                               RESUME:       1048 (       8.0 Hz)
                              SYNC100:      32491 (     246.5 Hz)
                              WR48-63:      32491 (     246.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4472367 (   33929.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     637947 (    4839.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      131.812 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.211                0.000
  1                0.644                0.000
  2                0.104                0.000
  3                0.610                0.000
  4                0.001                0.000
  5                1.489                0.000
  6                0.000                0.000
  7               10.407                0.000
  8                0.007                0.000
  9                3.903                0.000
 10                2.105                0.000
 11                0.015                0.000
 12                0.000                0.000
 13                0.359                0.000
 14                0.001                0.000
 15                2.207                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14064394       7614          0          0         30         30       1803       1803          0       3948          0      17314
  1   13179423       3388          0          0         29         29       1665       1665          0          0          0      38151
  2   14557381    1335568          0          0         13         13       1972       1972          0    1331598          0      23475
  3   19617646       4892          0          0         43         43       2403       2403          0          0          0      30324
  4    5648958     616805          0          0          1          1        777        777          0     615249          0      10380
  5   18696180       4932          0          0        131        131       2335       2335          0          0          0      35339
  6    5815232     464164          0          0          0          0        770        770          0     462624          0      23216
  7   44269814      11633          0          0        225        224       5592       5592          0          0          0     313471
  8    6429091       1516          0          0          1          1        757        757          0          0          0      26517
  9   35880468    1300754          0          0        152        152       4574       4574          0    1291302          0      24969
 10   18353794       4866          0          0        246        246       2187       2187          0          0          0      14856
 11    4621196     769080          0          0          4          4        713        713          0     767646          0      24241
 12    4572345       1024          0          0          0          0        512        512          0          0          0       6439
 13   13956451       3570          0          0         56         56       1729       1729          0          0          0      22520
 14    5345915       1318          0          0          1          1        658        658          0          0          0      15800
 15   32372266       8322          0          0        117        117       4044       4044          0          0          0      10935
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.129s ( 1098.565 blocks/s,  68.660 Mb/s)
Attachment 3: R7_42.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257357615 ( 1959593.4 Hz)
        Other data format:    4562385 (   34739.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1086 (       8.3 Hz)
                               RESUME:       1086 (       8.3 Hz)
                              SYNC100:      32490 (     247.4 Hz)
                              WR48-63:      32490 (     247.4 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4495233 (   34228.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     640512 (    4877.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      131.332 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.320                0.000
  1                0.555                0.000
  2                0.107                0.000
  3                1.008                0.000
  4                0.000                0.000
  5                1.900                0.000
  6                0.000                0.000
  7               10.018                0.000
  8                0.003                0.000
  9                3.911                0.000
 10                2.003                0.000
 11                0.016                0.000
 12                0.000                0.000
 13                0.450                0.000
 14                0.001                0.000
 15                1.832                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13873525       7404          0          0         41         41       1699       1699          0       3924          0      17674
  1   13645773       3570          0          0         26         26       1759       1759          0          0          0      38841
  2   14382089    1335288          0          0         15         15       1902       1902          0    1331454          0      23704
  3   19838847       5258          0          0         58         58       2571       2571          0          0          0      30478
  4    5609330     626216          0          0          1          1        780        780          0     624654          0      10429
  5   18732852       4878          0          0        132        132       2307       2307          0          0          0      35532
  6    5773741     470345          0          0          0          0        796        796          0     468753          0      23367
  7   44302756      11512          0          0        235        235       5521       5521          0          0          0     312043
  8    6624893       1678          0          0          1          1        838        838          0          0          0      26919
  9   35723194    1298446          0          0        149        149       4530       4530          0    1289088          0      25317
 10   18313583       5042          0          0        245        245       2276       2276          0          0          0      15157
 11    4596970     778626          0          0          5          5        628        628          0     777360          0      24418
 12    4585974       1066          0          0          0          0        533        533          0          0          0       6525
 13   13753094       3518          0          0         70         70       1689       1689          0          0          0      22808
 14    5336029       1368          0          0          1          1        683        683          0          0          0      16140
 15   32264965       8170          0          0        107        107       3978       3978          0          0          0      11160
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.191s ( 1096.213 blocks/s,  68.513 Mb/s)
Attachment 4: R7_41.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257421108 ( 1939002.8 Hz)
        Other data format:    4498892 (   33887.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1059 (       8.0 Hz)
                               RESUME:       1059 (       8.0 Hz)
                              SYNC100:      32494 (     244.8 Hz)
                              WR48-63:      32494 (     244.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4431786 (   33382.1 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     626091 (    4716.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      132.760 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.208                0.000
  1                0.426                0.000
  2                0.135                0.000
  3                0.540                0.000
  4                0.004                0.000
  5                1.529                0.000
  6                0.001                0.000
  7               11.561                0.000
  8                0.001                0.000
  9                3.166                0.000
 10                1.981                0.000
 11                0.010                0.000
 12                0.003                0.000
 13                0.435                0.000
 14                0.001                0.000
 15                2.192                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14125854       7603          0          0         34         34       1780       1780          0       3975          0      16932
  1   12999507       3346          0          0         27         27       1646       1646          0          0          0      37605
  2   14549105    1330849          0          0         16         16       1993       1993          0    1326831          0      22570
  3   19588079       5104          0          0         44         44       2508       2508          0          0          0      29463
  4    5623374     602489          0          0          1          1        771        771          0     600945          0      10031
  5   18635917       5070          0          0        145        145       2390       2390          0          0          0      34577
  6    5774011     454485          0          0          1          1        755        755          0     452973          0      22358
  7   43927148      11232          0          0        252        252       5364       5364          0          0          0     309324
  8    6481984       1536          0          0          1          1        767        767          0          0          0      25848
  9   36293972    1305814          0          0        134        134       4617       4617          0    1296312          0      24663
 10   18484729       5190          0          0        226        226       2369       2369          0          0          0      14628
 11    4592277     752114          0          0          2          2        680        680          0     750750          0      23479
 12    4565033       1142          0          0          2          2        569        569          0          0          0       6273
 13   13975352       3570          0          0         64         64       1721       1721          0          0          0      21912
 14    5319152       1192          0          0          1          1        595        595          0          0          0      15594
 15   32485614       8156          0          0        109        109       3969       3969          0          0          0      10834
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.234s ( 1094.602 blocks/s,  68.413 Mb/s)
Attachment 5: R7_40.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257446831 ( 1940911.1 Hz)
        Other data format:    4473169 (   33723.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1093 (       8.2 Hz)
                               RESUME:       1093 (       8.2 Hz)
                              SYNC100:      32494 (     245.0 Hz)
                              WR48-63:      32494 (     245.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4405995 (   33217.1 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     622088 (    4690.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      132.642 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.216                0.000
  1                0.343                0.000
  2                0.126                0.000
  3                0.759                0.000
  4                0.006                0.000
  5                1.457                0.000
  6                0.000                0.000
  7               11.340                0.000
  8                0.008                0.000
  9                3.704                0.000
 10                2.066                0.000
 11                0.009                0.000
 12                0.000                0.000
 13                0.422                0.000
 14                0.007                0.000
 15                1.901                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14192134       7643          0          0         32         32       1802       1802          0       3975          0      16831
  1   12692374       3340          0          0         21         21       1649       1649          0          0          0      37336
  2   14587574    1324651          0          0         22         22       2017       2017          0    1320573          0      22631
  3   19453349       5132          0          0         57         57       2509       2509          0          0          0      29511
  4    5663707     601044          0          0          2          2        715        715          0     599610          0       9909
  5   18656910       4898          0          0        117        117       2332       2332          0          0          0      34162
  6    5798018     454961          0          0          0          0        757        757          0     453447          0      22350
  7   44082007      11418          0          0        263        263       5446       5446          0          0          0     306534
  8    6461137       1572          0          0          4          4        782        782          0          0          0      25967
  9   36131717    1290933          0          0        150        150       4584       4584          0    1281465          0      24188
 10   18542819       5234          0          0        249        249       2368       2368          0          0          0      14717
 11    4581074     748195          0          0          3          3        632        632          0     746925          0      23542
 12    4576883       1108          0          0          0          0        554        554          0          0          0       6304
 13   14043798       3508          0          0         66         66       1688       1688          0          0          0      21907
 14    5310480       1328          0          0          2          2        662        662          0          0          0      15552
 15   32672850       8204          0          0        105        105       3997       3997          0          0          0      10647
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.266s ( 1093.433 blocks/s,  68.340 Mb/s)
Attachment 6: R7_39.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257666264 ( 1899576.9 Hz)
        Other data format:    4253736 (   31359.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1109 (       8.2 Hz)
                               RESUME:       1109 (       8.2 Hz)
                              SYNC100:      32506 (     239.6 Hz)
                              WR48-63:      32506 (     239.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4186506 (   30863.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     603733 (    4450.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      135.644 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.315                0.000
  1                0.465                0.000
  2                0.152                0.000
  3                0.477                0.000
  4                0.000                0.000
  5                1.410                0.000
  6                0.000                0.000
  7               10.830                0.000
  8                0.006                0.000
  9                3.516                0.000
 10                2.096                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.504                0.000
 14                0.001                0.000
 15                2.318                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14135604       7717          0          0         45         45       1787       1787          0       4053          0      15313
  1   11750051       2976          0          0         28         28       1460       1460          0          0          0      33988
  2   14645076    1299621          0          0         18         18       2082       2082          0    1295421          0      20497
  3   19028955       4938          0          0         42         42       2427       2427          0          0          0      26749
  4    5601003     542795          0          0          0          0        778        778          0     541239          0       8896
  5   18444566       4954          0          0        128        128       2349       2349          0          0          0      31140
  6    5705092     411813          0          0          1          1        713        713          0     410385          0      20283
  7   44751821      11668          0          0        247        247       5587       5587          0          0          0     317392
  8    6384929       1562          0          0          3          3        778        778          0          0          0      23462
  9   36840506    1271195          0          0        144        144       4618       4618          0    1261671          0      22142
 10   18869011       5166          0          0        245        245       2338       2338          0          0          0      13407
 11    4447340     674973          0          0          1          1        617        617          0     673737          0      21305
 12    4493746       1090          0          0          0          0        545        545          0          0          0       5562
 13   14105097       3536          0          0         80         80       1688       1688          0          0          0      19880
 14    5253775       1242          0          0          1          1        620        620          0          0          0      14101
 15   33209692       8490          0          0        126        126       4119       4119          0          0          0       9616
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.145s ( 1097.976 blocks/s,  68.624 Mb/s)
Attachment 7: R7_38.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258699123 ( 1711360.3 Hz)
        Other data format:    3220878 (   21306.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        926 (       6.1 Hz)
                               RESUME:        926 (       6.1 Hz)
                              SYNC100:      32563 (     215.4 Hz)
                              WR48-63:      32563 (     215.4 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3153900 (   20863.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     485537 (    3212.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      151.166 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.249                0.000
  1                0.120                0.000
  2                0.066                0.000
  3                0.354                0.000
  4                0.003                0.000
  5                0.536                0.000
  6                0.000                0.000
  7                6.425                0.000
  8                0.006                0.000
  9                3.101                0.000
 10                1.800                0.000
 11                0.004                0.000
 12                0.000                0.000
 13                0.331                0.000
 14                0.001                0.000
 15                1.796                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14033681       8147          0          0         36         36       1771       1771          0       4533          0       6714
  1    7323901       1916          0          0          9          9        949        949          0          0          0      15627
  2   14901799    1219051          0          0         10         10       2080       2080          0    1214871          0       9105
  3   17459053       4614          0          0         34         34       2273       2273          0          0          0      11881
  4    5291930     245124          0          0          1          1        734        734          0     243654          0       4222
  5   16613617       4290          0          0         76         76       2069       2069          0          0          0      14390
  6    5335939     196741          0          0          0          0        710        710          0     195321          0       9119
  7   48661913      12390          0          0        201        201       5994       5994          0          0          0     356859
  8    5678372       1424          0          0          2          2        710        710          0          0          0      10389
  9   40228814    1200218          0          0        144        144       5116       5116          0    1189698          0       9803
 10   20091293       5418          0          0        251        251       2458       2458          0          0          0       5747
 11    3741989     306779          0          0          1          1        477        477          0     305823          0       9660
 12    3923680        940          0          0          0          0        470        470          0          0          0       2649
 13   14468402       3682          0          0         54         54       1787       1787          0          0          0       8802
 14    4770705       1114          0          0          1          1        556        556          0          0          0       6164
 15   36174035       9030          0          0        106        106       4409       4409          0          0          0       4406
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.168s ( 1097.094 blocks/s,  68.568 Mb/s)
  667   Sun Jul 14 14:37:53 2024 TDS181 R6_375-380
Attachment 1: R6_380.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258540051 ( 2422222.2 Hz)
        Other data format:    3379949 (   31666.2 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1735 (      16.3 Hz)
                               RESUME:       1737 (      16.3 Hz)
                              SYNC100:      32396 (     303.5 Hz)
                              WR48-63:      32396 (     303.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3311685 (   31026.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     517373 (    4847.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      106.737 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.790                0.000
  1                8.947                0.000
  2                0.508                0.000
  3               19.756                0.000
  4                4.785                0.000
  5               20.920                0.000
  6                0.022                0.000
  7               25.352                0.000
  8                0.008                0.000
  9                3.185                0.000
 10                2.367                0.000
 11                0.358                0.000
 12                2.272                0.000
 13                0.389                0.000
 14                0.017                0.000
 15                2.304                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14698695       7082          0          0         57         57       1903       1903          0       3162          0      34241
  1   16000888       4254          0          0        104        104       2023       2023          0          0          0      42387
  2   14179598    1061970          0          0         24         24       1878       1878          0    1058166          0      16158
  3   21475534       6065          0          0        356        357       2676       2676          0          0          0      27190
  4   13248260     388594          0          0         86         86       1647       1647          0     385128          0      11106
  5   22463599       5966          0          0        228        228       2755       2755          0          0          0      27752
  6    5791719     322542          0          0          5          5        778        778          0     320976          0      14590
  7   35198362       9279          0          0        282        283       4357       4357          0          0          0     182456
  8    5823243       1532          0          0          2          2        764        764          0          0          0      15809
  9   28506851    1036505          0          0        134        134       3608       3608          0    1029021          0      13553
 10   15170339       4094          0          0        198        198       1849       1849          0          0          0       7980
 11    9668170     517738          0          0         28         28       1225       1225          0     515232          0      45139
 12   10627809       2786          0          0         97         97       1296       1296          0          0          0      10320
 13   13616594       3424          0          0         42         42       1670       1670          0          0          0      24397
 14    5270456       1294          0          0          5          5        642        642          0          0          0       8930
 15   26799934       6824          0          0         87         87       3325       3325          0          0          0      35365
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.965s ( 1067.918 blocks/s,  66.745 Mb/s)
Attachment 2: R6_379.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258492867 ( 2488518.1 Hz)
        Other data format:    3427133 (   32993.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1669 (      16.1 Hz)
                               RESUME:       1667 (      16.0 Hz)
                              SYNC100:      32386 (     311.8 Hz)
                              WR48-63:      32386 (     311.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3359025 (   32337.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     534041 (    5141.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      103.874 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.531                0.000
  1                9.105                0.000
  2                0.409                0.000
  3               20.901                0.000
  4                6.817                0.000
  5               21.213                0.000
  6                0.044                0.000
  7               25.524                0.000
  8                0.012                0.000
  9                2.155                0.000
 10                2.654                0.000
 11                0.254                0.000
 12                3.206                0.000
 13                0.405                0.000
 14                0.021                0.000
 15                1.675                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14465712       6849          0          0         46         46       1829       1829          0       3099          0      35543
  1   16450883       4372          0          0         97         97       2089       2089          0          0          0      45383
  2   14055542    1052711          0          0         21         21       1792       1792          0    1049085          0      16931
  3   21598001       6069          0          0        353        352       2682       2682          0          0          0      28874
  4   13375374     396382          0          0         93         93       1673       1673          0     392850          0      11316
  5   23169470       6376          0          0        222        222       2966       2966          0          0          0      29587
  6    5868310     338835          0          0          6          6        756        756          0     337311          0      15349
  7   34787878       9109          0          0        284        283       4271       4271          0          0          0     179444
  8    5913627       1550          0          0          4          4        771        771          0          0          0      16483
  9   28000125    1037778          0          0         94         94       3590       3590          0    1030410          0      14411
 10   14658992       4034          0          0        206        206       1811       1811          0          0          0       8453
 11    9978683     548866          0          0         21         21       1277       1277          0     546270          0      48576
 12   11124676       2942          0          0        108        108       1363       1363          0          0          0      10622
 13   13313729       3352          0          0         38         38       1638       1638          0          0          0      25010
 14    5305479       1290          0          0          7          7        638        638          0          0          0       9613
 15   26426386       6618          0          0         69         69       3240       3240          0          0          0      38446
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.004s ( 1066.528 blocks/s,  66.658 Mb/s)
Attachment 3: R6_378.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258502011 ( 2458827.2 Hz)
        Other data format:    3417989 (   32511.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1749 (      16.6 Hz)
                               RESUME:       1749 (      16.6 Hz)
                              SYNC100:      32392 (     308.1 Hz)
                              WR48-63:      32392 (     308.1 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3349707 (   31861.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     532400 (    5064.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      105.132 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.679                0.000
  1                9.249                0.000
  2                0.786                0.000
  3               21.653                0.000
  4                5.446                0.000
  5               20.569                0.000
  6                0.018                0.000
  7               25.965                0.000
  8                0.023                0.000
  9                3.527                0.000
 10                3.084                0.000
 11                0.578                0.000
 12                2.211                0.000
 13                0.401                0.000
 14                0.029                0.000
 15                2.039                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14837825       7065          0          0         54         54       1908       1908          0       3141          0      35149
  1   15971414       4190          0          0         90         90       2005       2005          0          0          0      44340
  2   13973975    1055388          0          0         28         28       1877       1877          0    1051578          0      16603
  3   21360293       6062          0          0        359        359       2672       2672          0          0          0      27349
  4   13445710     405480          0          0         78         78       1722       1722          0     401880          0      11761
  5   22822103       6008          0          0        219        219       2785       2785          0          0          0      29227
  6    5869439     336469          0          0          3          3        764        764          0     334935          0      15220
  7   34904209       9014          0          0        288        288       4219       4219          0          0          0     183752
  8    5764878       1344          0          0          3          3        669        669          0          0          0      16353
  9   28081264    1028257          0          0        128        128       3666       3666          0    1020669          0      13917
 10   14858837       4056          0          0        228        228       1800       1800          0          0          0       8182
 11   10178261     540198          0          0         44         44       1303       1303          0     537504          0      47495
 12   10803258       2976          0          0        104        104       1384       1384          0          0          0      11101
 13   13631305       3368          0          0         38         38       1646       1646          0          0          0      24976
 14    5355037       1370          0          0         10         10        675        675          0          0          0       9380
 15   26644203       6744          0          0         75         75       3297       3297          0          0          0      37595
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.863s ( 1036.831 blocks/s,  64.802 Mb/s)
Attachment 4: R6_377.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258552134 ( 2473392.2 Hz)
        Other data format:    3367866 (   32218.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1756 (      16.8 Hz)
                               RESUME:       1758 (      16.8 Hz)
                              SYNC100:      32389 (     309.8 Hz)
                              WR48-63:      32389 (     309.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3299574 (   31564.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     527301 (    5044.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      104.533 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.597                0.000
  1                8.636                0.000
  2                0.904                0.000
  3               20.863                0.000
  4                6.343                0.000
  5               20.105                0.000
  6                0.078                0.000
  7               25.706                0.000
  8                0.032                0.000
  9                3.757                0.000
 10                2.723                0.000
 11                0.441                0.000
 12                2.624                0.000
 13                0.350                0.000
 14                0.041                0.000
 15                2.134                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14829940       6930          0          0         43         43       1859       1859          0       3126          0      34968
  1   16084855       4240          0          0         86         86       2034       2034          0          0          0      44487
  2   14095291    1043346          0          0         25         25       1901       1901          0    1039494          0      16177
  3   21497704       5946          0          0        351        351       2622       2622          0          0          0      27780
  4   13645755     392320          0          0         90         90       1745       1745          0     388650          0      11516
  5   22699356       6082          0          0        212        212       2829       2829          0          0          0      28940
  6    5799289     329603          0          0          9          9        757        757          0     328071          0      14660
  7   34611640       9059          0          0        283        284       4246       4246          0          0          0     182416
  8    5904403       1412          0          0          5          5        701        701          0          0          0      16059
  9   27962268    1015369          0          0        138        139       3606       3606          0    1007880          0      13650
 10   14955138       4224          0          0        234        234       1878       1878          0          0          0       8242
 11    9968144     534917          0          0         35         35       1247       1247          0     532353          0      46585
 12   11007856       2816          0          0        109        109       1299       1299          0          0          0      10892
 13   13574135       3480          0          0         32         32       1708       1708          0          0          0      24567
 14    5407517       1306          0          0          8          8        645        645          0          0          0       9376
 15   26508843       6816          0          0         96         96       3312       3312          0          0          0      36986
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.332s ( 1054.990 blocks/s,  65.937 Mb/s)
Attachment 5: R6_376.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258492402 ( 2497580.9 Hz)
        Other data format:    3427598 (   33117.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1769 (      17.1 Hz)
                               RESUME:       1767 (      17.1 Hz)
                              SYNC100:      32385 (     312.9 Hz)
                              WR48-63:      32385 (     312.9 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3359292 (   32457.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     535580 (    5174.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      103.497 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.776                0.000
  1                9.861                0.000
  2                0.678                0.000
  3               21.902                0.000
  4                6.683                0.000
  5               20.300                0.000
  6                0.016                0.000
  7               26.525                0.000
  8                0.027                0.000
  9                3.024                0.000
 10                2.649                0.000
 11                0.458                0.000
 12                2.900                0.000
 13                0.387                0.000
 14                0.048                0.000
 15                2.584                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14764877       6954          0          0         56         56       1876       1876          0       3090          0      36135
  1   16480843       4336          0          0        118        118       2050       2050          0          0          0      45658
  2   14252286    1048007          0          0         23         23       1904       1904          0    1044153          0      16692
  3   21564846       6026          0          0        350        350       2663       2663          0          0          0      28647
  4   13304736     404308          0          0         88         88       1702       1702          0     400728          0      11809
  5   23013714       6376          0          0        231        231       2957       2957          0          0          0      30027
  6    5873061     342063          0          0          3          3        780        780          0     340497          0      15123
  7   34298981       8993          0          0        299        298       4198       4198          0          0          0     178104
  8    5866367       1500          0          0          3          3        747        747          0          0          0      16863
  9   27866733    1026338          0          0        122        121       3511       3511          0    1019073          0      14551
 10   14765796       4022          0          0        190        190       1821       1821          0          0          0       8481
 11   10252313     554457          0          0         32         32       1321       1321          0     551751          0      48407
 12   11025718       2958          0          0        115        115       1364       1364          0          0          0      11098
 13   13565855       3326          0          0         35         35       1628       1628          0          0          0      26079
 14    5404814       1304          0          0         10         10        642        642          0          0          0       9715
 15   26191462       6630          0          0         94         94       3221       3221          0          0          0      38191
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.238s ( 1058.261 blocks/s,  66.141 Mb/s)
Attachment 6: R6_375.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258498122 ( 2532033.6 Hz)
        Other data format:    3421878 (   33517.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1769 (      17.3 Hz)
                               RESUME:       1769 (      17.3 Hz)
                              SYNC100:      32380 (     317.2 Hz)
                              WR48-63:      32380 (     317.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3353580 (   32848.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     533348 (    5224.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      102.091 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.722                0.000
  1                9.219                0.000
  2                0.540                0.000
  3               21.422                0.000
  4                4.718                0.000
  5               21.434                0.000
  6                0.023                0.000
  7               26.932                0.000
  8                0.014                0.000
  9                3.140                0.000
 10                2.560                0.000
 11                0.453                0.000
 12                2.280                0.000
 13                0.408                0.000
 14                0.012                0.000
 15                2.073                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14893366       6777          0          0         60         60       1809       1809          0       3039          0      36151
  1   16620608       4354          0          0        112        112       2065       2065          0          0          0      45709
  2   13854193    1042012          0          0         29         29       1893       1893          0    1038168          0      16872
  3   21513605       6166          0          0        352        352       2731       2731          0          0          0      28236
  4   13835719     417225          0          0         86         86       1762       1762          0     413529          0      11794
  5   22712864       6078          0          0        213        213       2826       2826          0          0          0      29593
  6    5842394     341982          0          0          7          7        740        740          0     340488          0      15332
  7   33822775       8894          0          0        271        271       4176       4176          0          0          0     176325
  8    5896518       1406          0          0          3          3        700        700          0          0          0      16319
  9   27703752    1015898          0          0        111        111       3577       3577          0    1008522          0      13968
 10   14877004       4052          0          0        234        234       1792       1792          0          0          0       8511
 11   10500301     552528          0          0         30         30       1317       1317          0     549834          0      49042
 12   11072905       2914          0          0        119        119       1338       1338          0          0          0      10998
 13   13651284       3526          0          0         44         44       1719       1719          0          0          0      25633
 14    5433744       1396          0          0          4          4        694        694          0          0          0       9657
 15   26267090       6670          0          0         94         94       3241       3241          0          0          0      39208
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.184s ( 1060.179 blocks/s,  66.261 Mb/s)
  666   Mon Jun 17 02:13:21 2024 TDMonday 17 June
03.11 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats OK - attachment 3

      per FEE64 Rate spectra - attachment 4

05.33 DSSSD bias & leakage current OK - attachment 5

      FEE64 temperatures OK - attachment 6

      ADC data item stats OK - attachment 7

      per FEE64 Rate spectra - attachment 8

      per FEE64 1.8.L spectra - attachments 9-11
       aida09 pulser walkthrough 60 ch FWHM

      per FEE64 1.8.W spectra - 20us FSR - attachments 12-14

      Merger, TapeServer etc - attachments 15-16

05.40 analysis data file R9_1042 - attachment 17

      Check ASIC load x2

      aida02 zero rate
      restart Merger

05.55 Data file S181/R10
      Pulser walkthrough

      All histograms zero'd

      BNC PB-5
      Amplitudes 10.0-1.0 @ 1.0 step
      Attenuation x10
      Polarity +
      tau_d 1ms
      Frequency 25Hz

      per p+n FEE64 1.8.L spectra - attachment 18

      per FEE64 Rate spectra - attachment 19

06.43 TapeServer no storage mode

09.14 Data file S181/R11
      Pulser walkthrough

      All histograms zero'd

      BNC PB-5
      Amplitudes 10.0-1.0 @ 1.0 step
      Attenuation x10
      Polarity -
      tau_d 1ms
      Frequency 25Hz

09.52 TapeServer no storage mode

      per n+n FEE64 1.8.L spectra - attachment 20

15.18 DEFGAS/FATIMA arrays moved downstream to permit installation of calibration source at centre of each array - increased distance to AIDA/bPlas => lower rate


15.16 DSSSD bias & leakage current OK - attachments 21-22

      FEE64 temperatures OK - attachment 23

      ADC data item stats OK - attachment 24
       aida02 zero rate

      per FEE64 Rate spectra - attachment 25

      Merger restart
      ADC data item stats OK - attachment 26
       7x < 20k, max 207k aida16

15.54 bPlast debiased.


16.29 Data file S181/R12
      bPlas OFF but remiains cabled/connected/grounded

16.30 DSSSD bias & leakage current OK - attachment 27

      FEE64 temperatures OK - attachment 28

      ADC data item stats OK - attachment 29

      per FEE64 Rate spectra - attachment 30

      per FEE64 1.8.W spectra - 20us, 200us, 2ms, 20ms FSR - see attachments 31-39
       note - not all FEE64s updated spectra for all settings

      ADC data item stats OK - attachment 40
       rates change cf. attachment 29
       sources installed/moved/removed, people moving around platform?

      per FEE64 Rate spectra - attachment 41

16.57 DSSSD bias OFF
      FEE64 power OFF

16.34 analysis data file S181/R12_7
      max deadtime 2.2% (aida08)
      ADC data rate 1.330M, HEC data rate 2.1k

16.52 analysis data file S181/R12_12
      max deadtime 1.7% (aida08)
      ADC data rate 945k, HEC data rate 1.8k
Attachment 1: Screenshot_from_2024-06-17_03-11-38.png
Screenshot_from_2024-06-17_03-11-38.png
Attachment 2: Screenshot_from_2024-06-17_03-12-05.png
Screenshot_from_2024-06-17_03-12-05.png
Attachment 3: Screenshot_from_2024-06-17_03-12-29.png
Screenshot_from_2024-06-17_03-12-29.png
Attachment 4: Screenshot_from_2024-06-17_03-12-53.png
Screenshot_from_2024-06-17_03-12-53.png
Attachment 5: Screenshot_from_2024-06-17_05-33-18.png
Screenshot_from_2024-06-17_05-33-18.png
Attachment 6: Screenshot_from_2024-06-17_05-33-47.png
Screenshot_from_2024-06-17_05-33-47.png
Attachment 7: Screenshot_from_2024-06-17_05-34-22.png
Screenshot_from_2024-06-17_05-34-22.png
Attachment 8: Screenshot_from_2024-06-17_05-34-53.png
Screenshot_from_2024-06-17_05-34-53.png
Attachment 9: Screenshot_from_2024-06-17_05-36-26.png
Screenshot_from_2024-06-17_05-36-26.png
Attachment 10: Screenshot_from_2024-06-17_05-38-40.png
Screenshot_from_2024-06-17_05-38-40.png
Attachment 11: Screenshot_from_2024-06-17_05-39-53.png
Screenshot_from_2024-06-17_05-39-53.png
Attachment 12: Screenshot_from_2024-06-17_05-40-29.png
Screenshot_from_2024-06-17_05-40-29.png
Attachment 13: Screenshot_from_2024-06-17_05-41-12.png
Screenshot_from_2024-06-17_05-41-12.png
Attachment 14: Screenshot_from_2024-06-17_05-42-07.png
Screenshot_from_2024-06-17_05-42-07.png
Attachment 15: Screenshot_from_2024-06-17_05-42-35.png
Screenshot_from_2024-06-17_05-42-35.png
Attachment 16: Screenshot_from_2024-06-17_05-43-06.png
Screenshot_from_2024-06-17_05-43-06.png
Attachment 17: R9_1042
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259119494 ( 1239652.5 Hz)
        Other data format:    2800506 (   13397.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        509 (       2.4 Hz)
                               RESUME:        509 (       2.4 Hz)
                              SYNC100:      32779 (     156.8 Hz)
                              WR48-63:      32779 (     156.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2733930 (   13079.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     425638 (    2036.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      209.026 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.013                0.000
  1                0.000                0.000
  2                0.006                0.000
  3                0.367                0.000
  4                0.000                0.000
  5                0.343                0.000
  6                0.000                0.000
  7                4.268                0.000
  8                0.000                0.000
  9                2.321                0.000
 10                0.126                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.030                0.000
 14                0.000                0.000
 15                1.478                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    9607668       8795          0          0         21         21       1240       1240          0       6273          0          0
  1     568341        148          0          0          0          0         74         74          0          0          0          0
  2   11592594    1368472          0          0          2          2       1710       1710          0    1365048          0          0
  3   20057241       5226          0          0         32         32       2581       2581          0          0          0          0
  4    4038921       1042          0          0          0          0        521        521          0          0          0          0
  5   16834727       4288          0          0         27         27       2117       2117          0          0          0          0
  6    2895864      13265          0          0          0          0        361        361          0      12543          0          0
  7   58542191      15052          0          0        171        171       7355       7355          0          0          0     425638
  8    6363514       1604          0          0          0          0        802        802          0          0          0          0
  9   47066112    1362366          0          0        140        140       6010       6010          0    1350066          0          0
 10   19083458       4678          0          0         24         24       2315       2315          0          0          0          0
 11    2437006        602          0          0          0          0        301        301          0          0          0          0
 12    3839787        956          0          0          0          0        478        478          0          0          0          0
 13   12055396       3054          0          0         12         12       1515       1515          0          0          0          0
 14    3699941        872          0          0          0          0        436        436          0          0          0          0
 15   40436733      10086          0          0         80         80       4963       4963          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   69.695s (  459.141 blocks/s,  28.696 Mb/s)
Attachment 18: Screenshot_from_2024-06-17_06-44-16.png
Screenshot_from_2024-06-17_06-44-16.png
Attachment 19: Screenshot_from_2024-06-17_06-45-49.png
Screenshot_from_2024-06-17_06-45-49.png
Attachment 20: Screenshot_from_2024-06-17_09-53-53.png
Screenshot_from_2024-06-17_09-53-53.png
Attachment 21: Screenshot_from_2024-06-17_15-17-20.png
Screenshot_from_2024-06-17_15-17-20.png
Attachment 22: Screenshot_from_2024-06-17_15-16-47.png
Screenshot_from_2024-06-17_15-16-47.png
Attachment 23: Screenshot_from_2024-06-17_15-17-46.png
Screenshot_from_2024-06-17_15-17-46.png
Attachment 24: Screenshot_from_2024-06-17_15-18-09.png
Screenshot_from_2024-06-17_15-18-09.png
Attachment 25: Screenshot_from_2024-06-17_15-18-54.png
Screenshot_from_2024-06-17_15-18-54.png
Attachment 26: Screenshot_from_2024-06-17_15-20-50.png
Screenshot_from_2024-06-17_15-20-50.png
Attachment 27: Screenshot_from_2024-06-17_16-30-26.png
Screenshot_from_2024-06-17_16-30-26.png
Attachment 28: Screenshot_from_2024-06-17_16-30-55.png
Screenshot_from_2024-06-17_16-30-55.png
Attachment 29: Screenshot_from_2024-06-17_16-31-26.png
Screenshot_from_2024-06-17_16-31-26.png
Attachment 30: Screenshot_from_2024-06-17_16-31-48.png
Screenshot_from_2024-06-17_16-31-48.png
Attachment 31: Screenshot_from_2024-06-17_16-33-21.png
Screenshot_from_2024-06-17_16-33-21.png
Attachment 32: Screenshot_from_2024-06-17_16-34-32.png
Screenshot_from_2024-06-17_16-34-32.png
Attachment 33: Screenshot_from_2024-06-17_16-36-35.png
Screenshot_from_2024-06-17_16-36-35.png
Attachment 34: Screenshot_from_2024-06-17_16-37-33.png
Screenshot_from_2024-06-17_16-37-33.png
Attachment 35: Screenshot_from_2024-06-17_16-38-14.png
Screenshot_from_2024-06-17_16-38-14.png
Attachment 36: Screenshot_from_2024-06-17_16-40-21.png
Screenshot_from_2024-06-17_16-40-21.png
Attachment 37: Screenshot_from_2024-06-17_16-41-18.png
Screenshot_from_2024-06-17_16-41-18.png
Attachment 38: Screenshot_from_2024-06-17_16-43-19.png
Screenshot_from_2024-06-17_16-43-19.png
Attachment 39: Screenshot_from_2024-06-17_16-44-24.png
Screenshot_from_2024-06-17_16-44-24.png
Attachment 40: Screenshot_from_2024-06-17_16-45-19.png
Screenshot_from_2024-06-17_16-45-19.png
Attachment 41: Screenshot_from_2024-06-17_16-46-32.png
Screenshot_from_2024-06-17_16-46-32.png
Attachment 42: R12_7
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258100864 ( 1330189.9 Hz)
        Other data format:    3819136 (   19682.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        522 (       2.7 Hz)
                               RESUME:        522 (       2.7 Hz)
                              SYNC100:      32723 (     168.6 Hz)
                              WR48-63:      32723 (     168.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3752646 (   19340.2 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     409704 (    2111.5 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      194.033 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.121                0.000
  1                0.000                0.000
  2                0.050                0.000
  3                0.191                0.000
  4                0.010                0.000
  5                0.209                0.000
  6                0.000                0.000
  7                4.225                0.000
  8                0.000                0.000
  9                2.072                0.000
 10                0.065                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.145                0.000
 14                0.002                0.000
 15                1.159                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13428500       9208          0          0         38         38       1659       1659          0       5814          0          0
  1    4595656       1164          0          0          0          0        582        582          0          0          0          0
  2   13843408    1881338          0          0         11         11       1937       1937          0    1877442          0          0
  3   19769270       5066          0          0         33         33       2500       2500          0          0          0          0
  4    5034345       1228          0          0          4          4        610        610          0          0          0          0
  5   19195180       4990          0          0         40         40       2455       2455          0          0          0          0
  6    5179175      12949          0          0          0          0        653        653          0      11643          0          0
  7   53830866      13806          0          0        173        173       6730       6730          0          0          0     409704
  8    6018644       1564          0          0          0          0        782        782          0          0          0          0
  9   41936601    1868943          0          0        126        126       5472       5472          0    1857747          0          0
 10   16378984       4032          0          0         16         16       2000       2000          0          0          0          0
 11    2633344        646          0          0          0          0        323        323          0          0          0          0
 12    1918841        428          0          0          0          0        214        214          0          0          0          0
 13   12464437       2916          0          0         14         14       1444       1444          0          0          0          0
 14    3882711       1026          0          0          1          1        512        512          0          0          0          0
 15   37990902       9832          0          0         66         66       4850       4850          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   60.555s (  528.448 blocks/s,  33.028 Mb/s)
Attachment 43: R12_12
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:       7946
          ADC data format:   63712775 (  945168.0 Hz)
        Other data format:    1325235 (   19659.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        128 (       1.9 Hz)
                               RESUME:        128 (       1.9 Hz)
                              SYNC100:       8197 (     121.6 Hz)
                              WR48-63:       8197 (     121.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1308585 (   19412.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     119370 (    1770.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:       67.409 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.043                0.000
  4                0.000                0.000
  5                0.026                0.000
  6                0.000                0.000
  7                1.174                0.000
  8                0.000                0.000
  9                0.504                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.224                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0     896977       2260          0          0          0          0        119        119          0       2022          0          0
  1    1331618        334          0          0          0          0        167        167          0          0          0          0
  2    2033117     654263          0          0          0          0        346        346          0     653571          0          0
  3    6066546       1620          0          0         14         14        796        796          0          0          0          0
  4     632291        132          0          0          0          0         66         66          0          0          0          0
  5    5664311       1468          0          0         11         11        723        723          0          0          0          0
  6    1249421       4352          0          0          0          0        154        154          0       4044          0          0
  7   16999500       4406          0          0         59         59       2144       2144          0          0          0     119370
  8      43320         14          0          0          0          0          7          7          0          0          0          0
  9   12410393     652234          0          0         26         26       1617       1617          0     648948          0          0
 10    3213140        792          0          0          0          0        396        396          0          0          0          0
 11     278998         64          0          0          0          0         32         32          0          0          0          0
 12     433582        116          0          0          0          0         58         58          0          0          0          0
 13    1869315        430          0          0          0          0        215        215          0          0          0          0
 14     612732        128          0          0          0          0         64         64          0          0          0          0
 15    9977514       2622          0          0         18         18       1293       1293          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   14.254s (  557.461 blocks/s,  34.841 Mb/s)
  665   Sun Jun 16 03:11:27 2024 TDSunday 16 June
04.08 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats OK - attachment 3

      per FEE64 Rate spectra - attachment 4


07.52 DSSSD bias & leakage current OK - attachment 5

      FEE64 temperatures OK - attachment 6

      ADC data item stats OK - attachment 7

      per FEE64 Rate spectra - attachment 8

      per p+n FEE64 1.8.L spectra - attachments 9-10
       aida09 pulser peak width 59 ch FWHM


15.01 DSSSD bias & leakage current OK - attachment 11

      FEE64 temperatures OK - attachment 12

      ADC data item stats OK - attachment 13

      per p+n FEE64 1.8.L spectra - attachments 14-15
       aida09 pulser peak width 59 ch FWHM
       aida05 pulser peak width 68 ch FWHM
       aida12 pulser peak width 71 ch FWHM


      per FEE64 Rate spectra - attachment 16

      Merger, TapeSever etc - attachment 17
       no data transfer to MBS - MBS down?

15.06 analysis data file R9_747 - attachment 18
       max dead time 4.3% aida08, all other FEE64s < 2%
       ADC data rate 1.667M, HEC data rate 2.3k 


19.12 DSSSD bias & leakage current OK - attachment 19

      FEE64 temperatures OK - attachment 20

      ADC data item stats OK - attachment 21

      per FEE64 Rate spectra - attachment 22

23.34 DSSSD bias & leakage current OK - attachment 23

      FEE64 temperatures OK - attachment 24

      ADC data item stats OK - attachment 25

      per FEE64 Rate spectra - attachment 26
Attachment 1: Screenshot_from_2024-06-16_04-10-35.png
Screenshot_from_2024-06-16_04-10-35.png
Attachment 2: Screenshot_from_2024-06-16_04-09-07.png
Screenshot_from_2024-06-16_04-09-07.png
Attachment 3: Screenshot_from_2024-06-16_04-09-41.png
Screenshot_from_2024-06-16_04-09-41.png
Attachment 4: Screenshot_from_2024-06-16_04-10-21.png
Screenshot_from_2024-06-16_04-10-21.png
Attachment 5: Screenshot_from_2024-06-16_07-52-49.png
Screenshot_from_2024-06-16_07-52-49.png
Attachment 6: Screenshot_from_2024-06-16_07-53-20.png
Screenshot_from_2024-06-16_07-53-20.png
Attachment 7: Screenshot_from_2024-06-16_07-53-47.png
Screenshot_from_2024-06-16_07-53-47.png
Attachment 8: Screenshot_from_2024-06-16_07-54-42.png
Screenshot_from_2024-06-16_07-54-42.png
Attachment 9: Screenshot_from_2024-06-16_07-59-28.png
Screenshot_from_2024-06-16_07-59-28.png
Attachment 10: Screenshot_from_2024-06-16_08-01-35.png
Screenshot_from_2024-06-16_08-01-35.png
Attachment 11: Screenshot_from_2024-06-16_15-01-23.png
Screenshot_from_2024-06-16_15-01-23.png
Attachment 12: Screenshot_from_2024-06-16_15-01-46.png
Screenshot_from_2024-06-16_15-01-46.png
Attachment 13: Screenshot_from_2024-06-16_15-02-12.png
Screenshot_from_2024-06-16_15-02-12.png
Attachment 14: Screenshot_from_2024-06-16_15-03-05.png
Screenshot_from_2024-06-16_15-03-05.png
Attachment 15: Screenshot_from_2024-06-16_15-06-14.png
Screenshot_from_2024-06-16_15-06-14.png
Attachment 16: Screenshot_from_2024-06-16_15-07-06.png
Screenshot_from_2024-06-16_15-07-06.png
Attachment 17: Screenshot_from_2024-06-16_15-08-02.png
Screenshot_from_2024-06-16_15-08-02.png
Attachment 18: R9_747
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  261839349 ( 1667447.6 Hz)
        Other data format:      80651 (     513.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        683 (       4.3 Hz)
                               RESUME:        683 (       4.3 Hz)
                              SYNC100:      32585 (     207.5 Hz)
                              WR48-63:      32585 (     207.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:      14115 (      89.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     357749 (    2278.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      157.030 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.474                0.000
  1                0.000                0.000
  2                0.114                0.000
  3                0.086                0.000
  4                0.007                0.000
  5                0.165                0.000
  6                0.000                0.000
  7                6.815                0.000
  8                0.000                0.000
  9                3.068                0.000
 10                0.526                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.247                0.000
 14                0.002                0.000
 15                1.373                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   17385482       9389          0          0         56         56       2291       2291          0       4695          0          0
  1    4359471       1110          0          0          0          0        555        555          0          0          0          0
  2   16890768       4312          0          0         14         14       2142       2142          0          0          0          0
  3   16799432       4226          0          0         17         17       2096       2096          0          0          0          0
  4    5895210       1414          0          0          2          2        705        705          0          0          0          0
  5   15605735       4074          0          0         27         27       2010       2010          0          0          0          0
  6    2976504      10096          0          0          0          0        338        338          0       9420          0          0
  7   48753528      12540          0          0        223        223       6047       6047          0          0          0     357748
  8    5419325       1414          0          0          0          0        707        707          0          0          0          0
  9   41464320      10520          0          0        151        151       5109       5109          0          0          0          0
 10   21531781       5458          0          0         66         66       2663       2663          0          0          0          1
 11    3242993        744          0          0          0          0        372        372          0          0          0          0
 12    3988909        970          0          0          0          0        485        485          0          0          0          0
 13   16192913       4074          0          0         38         38       1999       1999          0          0          0          0
 14    4620379       1122          0          0          1          1        560        560          0          0          0          0
 15   36712599       9188          0          0         88         88       4506       4506          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   63.340s (  505.211 blocks/s,  31.576 Mb/s)
Attachment 19: Screenshot_from_2024-06-16_19-11-54.png
Screenshot_from_2024-06-16_19-11-54.png
Attachment 20: Screenshot_from_2024-06-16_19-12-22.png
Screenshot_from_2024-06-16_19-12-22.png
Attachment 21: Screenshot_from_2024-06-16_19-14-40.png
Screenshot_from_2024-06-16_19-14-40.png
Attachment 22: Screenshot_from_2024-06-16_19-15-10.png
Screenshot_from_2024-06-16_19-15-10.png
Attachment 23: Screenshot_from_2024-06-16_23-34-39.png
Screenshot_from_2024-06-16_23-34-39.png
Attachment 24: Screenshot_from_2024-06-16_23-35-06.png
Screenshot_from_2024-06-16_23-35-06.png
Attachment 25: Screenshot_from_2024-06-16_23-35-37.png
Screenshot_from_2024-06-16_23-35-37.png
Attachment 26: Screenshot_from_2024-06-16_23-36-04.png
Screenshot_from_2024-06-16_23-36-04.png
  664   Sat Jun 15 00:28:01 2024 TDSaturday 15 June
Beam lost due to septum failure c. 18.15 Friday 14 June

Cooling of recirculating water failed c. 19.00 - water temperature increased from the normal 17-18deg C to 25-26 deg C
FEE64 temperatures increased and FEE64s powered off and on-call engineer requested.

Cooling of recirculating water restored and AIDA restarted

NH started background run 23.37 - data file S181/R9

01.21 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats OK - attachment 3
       8x < 20k, max 238k aida08

      per FEE64 Rate spectra - attachment 4

      2x Check ASIC load

      Merger, TapeServer etc - attachments 5-6

      analysis data file R9_27 - attachment 7
       max deadtime 1.9%, all other FEE64s < 1%
       ADC data rate 951k, HEC data rate 1.6kHz

04.28 DSSSD bias & leakage current OK - attachment 8

      FEE64 temperatures OK - attachment 9

      ADC data item stats OK - attachment 10
       8x < 20k, max 261k aida08

      per FEE64 Rate spectra - attachment 11


08.30 DSSSD bias & leakage current OK - attachments 12-13

      FEE64 temperatures OK - attachment 14

      ADC data item stats OK - attachment 15
       all rates increased - gamma source in use?

      per FEE64 Rate spectra - attachments 16-17
       note aida08 asic #3 hot HEC channel

      per FEE64 1.8.L spectra - attachments 18-20
       aida09 pulser peak width 59 ch FWHM
       decay alphas aida05, aida12, aida02, aida04

      per FEE64 1.8.W spectra - 20us FSR - attachments 21-23

      Merger, TapeServer etc - attachments 25-25

      analysis data file R9_152 - attachment 26
       max deadtime 2.4%, all other FEE64s < 1%
       ADC data rate 1.215M, HEC data rate 2.1kHz


12.22 DSSSD bias & leakage current OK - attachment 27

      FEE64 temperatures OK - attachment 28

      ADC data item stats OK - attachment 29

      per FEE64 Rate spectra - attachment 30



15.27 DSSSD bias & leakage current OK - attachment 31

      FEE64 temperatures OK - attachment 32

      ADC data item stats OK - attachment 33
       all rates increased - 370kBq 152Eu in use

      per FEE64 Rate spectra - attachments 34

      per FEE64 1.8.L spectra - attachments 35-36
       aida09 pulser peak width 59 ch FWHM
       decay alphas aida05, aida12, aida02, aida04

      analysis data file R9_275 - attachment 37
       max deadtime 4.4%, all other FEE64s < 2%
       ADC data rate 1.520M, HEC data rate 2.3kHz

18.52 DSSSD bias & leakage current OK - attachment 38

      FEE64 temperatures OK - attachment 39

      ADC data item stats OK - attachment 40

      per FEE64 Rate spectra - attachment 41


22.50 DSSSD bias & leakage current OK - attachment 42

      FEE64 temperatures OK - attachment 43

      ADC data item stats OK - attachment 44

      per FEE64 Rate spectra - attachment 45
Attachment 1: Screenshot_from_2024-06-15_01-21-06.png
Screenshot_from_2024-06-15_01-21-06.png
Attachment 2: Screenshot_from_2024-06-15_01-21-41.png
Screenshot_from_2024-06-15_01-21-41.png
Attachment 3: Screenshot_from_2024-06-15_01-23-59.png
Screenshot_from_2024-06-15_01-23-59.png
Attachment 4: Screenshot_from_2024-06-15_01-24-56.png
Screenshot_from_2024-06-15_01-24-56.png
Attachment 5: Screenshot_from_2024-06-15_01-27-07.png
Screenshot_from_2024-06-15_01-27-07.png
Attachment 6: Screenshot_from_2024-06-15_01-27-34.png
Screenshot_from_2024-06-15_01-27-34.png
Attachment 7: R9_27
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258219194 (  950585.8 Hz)
        Other data format:    3700806 (   13623.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        516 (       1.9 Hz)
                               RESUME:        516 (       1.9 Hz)
                              SYNC100:      33012 (     121.5 Hz)
                              WR48-63:      33012 (     121.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3633750 (   13377.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     437157 (    1609.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      271.642 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.523                0.000
  1                0.000                0.000
  2                0.959                0.000
  3                0.770                0.000
  4                0.002                0.000
  5                0.768                0.000
  6                0.000                0.000
  7                5.278                0.000
  8                0.000                0.000
  9                2.951                0.000
 10                0.780                0.000
 11                0.000                0.000
 12                0.030                0.000
 13                0.033                0.000
 14                0.000                0.000
 15                2.166                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3263639       8999          0          0          7          7        426        426          0       8133          0        129
  1    5814210       1456          0          0          0          0        728        728          0          0          0        128
  2    6330359    1813504          0          0          6          6       1067       1067          0    1811358          0         79
  3   25849187       6768          0          0         50         50       3334       3334          0          0          0        112
  4    2729875        722          0          0          1          1        360        360          0          0          0        127
  5   18600203       4844          0          0         37         37       2385       2385          0          0          0         94
  6    5217587      17698          0          0          0          0        698        698          0      16302          0        124
  7   65848180      17052          0          0        196        196       8330       8330          0          0          0     435453
  8    7897456       2060          0          0          0          0       1030       1030          0          0          0        128
  9   45422925    1809941          0          0        107        107       5885       5885          0    1797957          0         64
 10   15079549       3854          0          0         29         29       1898       1898          0          0          0        111
 11    1722257        384          0          0          0          0        192        192          0          0          0        128
 12    4556679       1158          0          0          1          1        578        578          0          0          0        141
 13    6725939       1702          0          0          2          2        849        849          0          0          0        130
 14    3055032        760          0          0          0          0        380        380          0          0          0        128
 15   40106117       9904          0          0         80         80       4872       4872          0          0          0         81
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   39.733s (  805.368 blocks/s,  50.335 Mb/s)
Attachment 8: Screenshot_from_2024-06-15_04-28-07.png
Screenshot_from_2024-06-15_04-28-07.png
Attachment 9: Screenshot_from_2024-06-15_04-28-40.png
Screenshot_from_2024-06-15_04-28-40.png
Attachment 10: Screenshot_from_2024-06-15_04-30-40.png
Screenshot_from_2024-06-15_04-30-40.png
Attachment 11: Screenshot_from_2024-06-15_04-31-38.png
Screenshot_from_2024-06-15_04-31-38.png
Attachment 12: Screenshot_from_2024-06-15_08-33-07.png
Screenshot_from_2024-06-15_08-33-07.png
Attachment 13: Screenshot_from_2024-06-15_08-30-26.png
Screenshot_from_2024-06-15_08-30-26.png
Attachment 14: Screenshot_from_2024-06-15_08-33-35.png
Screenshot_from_2024-06-15_08-33-35.png
Attachment 15: Screenshot_from_2024-06-15_08-36-46.png
Screenshot_from_2024-06-15_08-36-46.png
Attachment 16: Screenshot_from_2024-06-15_08-35-16.png
Screenshot_from_2024-06-15_08-35-16.png
Attachment 17: Screenshot_from_2024-06-15_08-43-12.png
Screenshot_from_2024-06-15_08-43-12.png
Attachment 18: Screenshot_from_2024-06-15_08-40-42.png
Screenshot_from_2024-06-15_08-40-42.png
Attachment 19: Screenshot_from_2024-06-15_08-39-42.png
Screenshot_from_2024-06-15_08-39-42.png
Attachment 20: Screenshot_from_2024-06-15_08-38-37.png
Screenshot_from_2024-06-15_08-38-37.png
Attachment 21: Screenshot_from_2024-06-15_08-46-26.png
Screenshot_from_2024-06-15_08-46-26.png
Attachment 22: Screenshot_from_2024-06-15_08-45-35.png
Screenshot_from_2024-06-15_08-45-35.png
Attachment 23: Screenshot_from_2024-06-15_08-44-50.png
Screenshot_from_2024-06-15_08-44-50.png
Attachment 24: Screenshot_from_2024-06-15_08-48-10.png
Screenshot_from_2024-06-15_08-48-10.png
Attachment 25: Screenshot_from_2024-06-15_08-47-40.png
Screenshot_from_2024-06-15_08-47-40.png
Attachment 26: R9_152
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259017680 ( 1215243.3 Hz)
        Other data format:    2902320 (   13616.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        515 (       2.4 Hz)
                               RESUME:        515 (       2.4 Hz)
                              SYNC100:      32794 (     153.9 Hz)
                              WR48-63:      32794 (     153.9 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2835702 (   13304.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     441097 (    2069.5 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      213.141 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.001                0.000
  1                0.000                0.000
  2                0.002                0.000
  3                0.140                0.000
  4                0.000                0.000
  5                0.047                0.000
  6                0.000                0.000
  7                5.152                0.000
  8                0.000                0.000
  9                2.136                0.000
 10                0.186                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.032                0.000
 14                0.000                0.000
 15                1.354                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    8157992       8515          0          0          4          4       1057       1057          0       6393          0          0
  1    4152307       1016          0          0          0          0        508        508          0          0          0          0
  2   10764259    1418465          0          0          2          2       1556       1556          0    1415349          0          0
  3   20158462       5380          0          0         30         30       2660       2660          0          0          0          0
  4    3884729       1032          0          0          0          0        516        516          0          0          0          0
  5   16603608       4178          0          0         21         21       2068       2068          0          0          0          0
  6    5112596      13950          0          0          0          0        582        582          0      12786          0          0
  7   59646516      15586          0          0        217        217       7576       7576          0          0          0     441097
  8    6438302       1678          0          0          0          0        839        839          0          0          0          0
  9   45360093    1412938          0          0        126        126       5756       5756          0    1401174          0          0
 10   18847436       4734          0          0         36         36       2331       2331          0          0          0          0
 11    2364016        648          0          0          0          0        324        324          0          0          0          0
 12    1869299        460          0          0          0          0        230        230          0          0          0          0
 13   11268040       2624          0          0          8          8       1304       1304          0          0          0          0
 14    3774625        934          0          0          0          0        467        467          0          0          0          0
 15   40615400      10182          0          0         71         71       5020       5020          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   41.906s (  763.609 blocks/s,  47.726 Mb/s)
Attachment 27: Screenshot_from_2024-06-15_12-22-54.png
Screenshot_from_2024-06-15_12-22-54.png
Attachment 28: Screenshot_from_2024-06-15_12-23-19.png
Screenshot_from_2024-06-15_12-23-19.png
Attachment 29: Screenshot_from_2024-06-15_12-25-35.png
Screenshot_from_2024-06-15_12-25-35.png
Attachment 30: Screenshot_from_2024-06-15_12-26-47.png
Screenshot_from_2024-06-15_12-26-47.png
Attachment 31: Screenshot_from_2024-06-15_15-26-54.png
Screenshot_from_2024-06-15_15-26-54.png
Attachment 32: Screenshot_from_2024-06-15_15-27-27.png
Screenshot_from_2024-06-15_15-27-27.png
Attachment 33: Screenshot_from_2024-06-15_15-27-48.png
Screenshot_from_2024-06-15_15-27-48.png
Attachment 34: Screenshot_from_2024-06-15_15-28-25.png
Screenshot_from_2024-06-15_15-28-25.png
Attachment 35: Screenshot_from_2024-06-15_15-31-08.png
Screenshot_from_2024-06-15_15-31-08.png
Attachment 36: Screenshot_from_2024-06-15_15-32-10.png
Screenshot_from_2024-06-15_15-32-10.png
Attachment 37: R9_275
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259129992 ( 1519853.9 Hz)
        Other data format:    2790008 (   16364.0 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        665 (       3.9 Hz)
                               RESUME:        665 (       3.9 Hz)
                              SYNC100:      32636 (     191.4 Hz)
                              WR48-63:      32636 (     191.4 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2723406 (   15973.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     395024 (    2316.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      170.497 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.249                0.000
  1                0.000                0.000
  2                0.356                0.000
  3                0.136                0.000
  4                0.337                0.000
  5                0.141                0.000
  6                0.019                0.000
  7                7.476                0.000
  8                0.165                0.000
  9                3.059                0.000
 10                0.581                0.000
 11                0.000                0.000
 12                0.320                0.000
 13                0.705                0.000
 14                0.045                0.000
 15                1.921                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   12819722       8373          0          0         35         35       1597       1597          0       5109          0          0
  1    4488999       1128          0          0          0          0        564        564          0          0          0          0
  2   13809452    1368881          0          0         15         15       1975       1975          0    1364901          0          0
  3   17302926       4370          0          0         25         25       2160       2160          0          0          0          0
  4    4688002       1104          0          0         11         11        541        541          0          0          0          0
  5   15844696       4176          0          0         32         32       2056       2056          0          0          0          0
  6    5051819      11648          0          0          1          1        708        708          0      10230          0          0
  7   52726699      13824          0          0        233        233       6679       6679          0          0          0     395024
  8    5506218       1384          0          0          2          2        690        690          0          0          0          0
  9   42499600    1354256          0          0        142        142       5403       5403          0    1343166          0          0
 10   20647689       5006          0          0         43         43       2460       2460          0          0          0          0
 11    2780744        604          0          0          0          0        302        302          0          0          0          0
 12    3628914        944          0          0          9          9        463        463          0          0          0          0
 13   14531072       3696          0          0         25         25       1823       1823          0          0          0          0
 14    4089821       1130          0          0          3          3        562        562          0          0          0          0
 15   38713619       9484          0          0         89         89       4653       4653          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   49.219s (  650.159 blocks/s,  40.635 Mb/s)
Attachment 38: Screenshot_from_2024-06-15_18-52-04.png
Screenshot_from_2024-06-15_18-52-04.png
Attachment 39: Screenshot_from_2024-06-15_18-52-35.png
Screenshot_from_2024-06-15_18-52-35.png
Attachment 40: Screenshot_from_2024-06-15_18-53-05.png
Screenshot_from_2024-06-15_18-53-05.png
Attachment 41: Screenshot_from_2024-06-15_18-53-49.png
Screenshot_from_2024-06-15_18-53-49.png
Attachment 42: Screenshot_from_2024-06-15_22-50-22.png
Screenshot_from_2024-06-15_22-50-22.png
Attachment 43: Screenshot_from_2024-06-15_22-50-03.png
Screenshot_from_2024-06-15_22-50-03.png
Attachment 44: Screenshot_from_2024-06-15_22-50-49.png
Screenshot_from_2024-06-15_22-50-49.png
Attachment 45: Screenshot_from_2024-06-15_22-51-18.png
Screenshot_from_2024-06-15_22-51-18.png
  663   Fri Jun 14 15:00:47 2024 Norah , Muneerah 16:00-20:00 Friday 14 June

Everything appears to be running smoothly. Attachments 1-4 were taken around 16:00

DSSSD Bias & Leakage Current - Check: OK (see attachment 1)
FEE64 Temperature - Check: OK (see attachment 2)
ADC Data Item Stats and Spectrum Browser - Check: OK (see attachments 3 and 4)

Another set of screenshots was taken around 18:00, and everything looks good.

DSSSD bias & leakage current  ok - attachment # 5-6
FEE64 temperatures  ok - attachment # 7
ADC data item stats - attachment # 8
Merger ok - Attachement #9
Tape service - attachement # 10
ucesb - attachment #11

At 19:17, AIDA03 temperatures gave no response (see attachment 12), but after two minutes, they returned to normal.

I noted that the  bplse in ucesb has no data (see attachment 13). It may need to change the disc thresholds again
 it does not affect AIDA

At 19:30 most aida temperature are high and I spoke to people on site via zoom one of them she is working with AIDA so she was able to help. She called Nick and it is found that there is a problem in the cooling system so she stopped AIDA

At 22 the cooling system fixed and Nic and the other person on site restarted AIDA

 

 

 

 

 

Attachment 1: Screenshot_from_2024-06-14_16-06-09.png
Screenshot_from_2024-06-14_16-06-09.png
Attachment 2: Screenshot_from_2024-06-14_16-04-58.png
Screenshot_from_2024-06-14_16-04-58.png
Attachment 3: Screenshot_from_2024-06-14_16-04-23.png
Screenshot_from_2024-06-14_16-04-23.png
Attachment 4: Screenshot_from_2024-06-14_16-05-33.png
Screenshot_from_2024-06-14_16-05-33.png
Attachment 5: Screenshot_from_2024-06-14_18-02-36.png
Screenshot_from_2024-06-14_18-02-36.png
Attachment 6: Screenshot_from_2024-06-14_18-02-08.png
Screenshot_from_2024-06-14_18-02-08.png
Attachment 7: Screenshot_from_2024-06-14_18-04-40.png
Screenshot_from_2024-06-14_18-04-40.png
Attachment 8: Screenshot_from_2024-06-14_18-05-44.png
Screenshot_from_2024-06-14_18-05-44.png
Attachment 9: Screenshot_from_2024-06-14_18-08-50.png
Screenshot_from_2024-06-14_18-08-50.png
Attachment 10: Screenshot_from_2024-06-14_18-11-04.png
Screenshot_from_2024-06-14_18-11-04.png
Attachment 11: Screenshot_from_2024-06-14_18-17-15.png
Screenshot_from_2024-06-14_18-17-15.png
Attachment 12: Screenshot_from_2024-06-14_19-23-32.png
Screenshot_from_2024-06-14_19-23-32.png
Attachment 13: Screenshot_from_2024-06-14_19-35-11.png
Screenshot_from_2024-06-14_19-35-11.png
  662   Fri Jun 14 06:22:39 2024 TD08:00-16:00 Friday 14 June
07.23 All histograms & stats zero'd

      DAQ continues data file S181/R8_35

      analysis data file R8_35 - attachment 1
      max deadtime 4.8% (aida08), all other FEE64s < 3%
      LEC data rate 1.677M HEC data rate 3.7k

08.46 DSSSD bias & leakage current OK - attachments 2-3

      FEE64 temps OK - attachment 4

      ADC data item stats - attachment 5

      per FEE64 Rate spectra - attachment 6

      per p+n FEE64 1.8.L spectra - attachment 8
       aida09 pulser peak width 65 ch FWHM

      per FEE64 1.8.H spectra - attachment 7 & 10

      per FEE64 1.8.W spectra - 20us FSR - attachment 9 & 11

      Merger, TapeServer etc - attachments 12-13
       data file S181/R8_72


10.51 DSSSD bias & leakage current OK - attachments 14

      FEE64 temps OK - attachment 15

      ADC data item stats - attachment 16

      per FEE64 Rate spectra - attachment 17

10.54 analysis data file R8_122 - attachment 18
       max deadtime 7.8% (aida08), all other FEE64s < 3%
       ADC data rate 1.88M, HEC data rate 4.7k


14.24 DSSSD bias & leakage current OK - attachments 18

      FEE64 temps OK - attachment 19

      ADC data item stats - attachment 20

      per FEE64 Rate spectra - attachment 21

      aida02 no data

14.28 merger restarted and DAQ running OK 


14.38 analysis data file R8_213 - attachment 22
       max deadtime 6.6% (aida08), all other FEE64s < 3.5%
       ADC data rate 1.935M, HEC data rate 3.6k
Attachment 1: R8_35
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257896837 ( 1677094.0 Hz)
        Other data format:    4023165 (   26162.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        943 (       6.1 Hz)
                               RESUME:        943 (       6.1 Hz)
                              SYNC100:      32573 (     211.8 Hz)
                              WR48-63:      32573 (     211.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3956133 (   25726.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     571720 (    3717.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      153.776 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.108                0.000
  1                0.164                0.000
  2                0.270                0.000
  3                0.595                0.000
  4                0.126                0.000
  5                1.001                0.000
  6                0.058                0.000
  7                7.436                0.000
  8                0.069                0.000
  9                3.830                0.000
 10                2.186                0.000
 11                0.125                0.000
 12                0.069                0.000
 13                0.757                0.000
 14                0.154                0.000
 15                2.216                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   12981434       7806          0          0         18         18       1578       1578          0       4614          0      11424
  1    8323345       2124          0          0         12         12       1050       1050          0          0          0      25906
  2   13584435    1382069          0          0         11         11       1829       1829          0    1378389          0      15197
  3   18274735       4850          0          0         51         51       2374       2374          0          0          0      20257
  4    5283424     405074          0          0          6          6        727        727          0     403608          0       6463
  5   17252022       4608          0          0         99         99       2205       2205          0          0          0      23562
  6    5456624     322759          0          0          2          2        750        750          0     321255          0      15002
  7   48918628      12422          0          0        216        216       5995       5995          0          0          0     358328
  8    6232233       1470          0          0          2          2        733        733          0          0          0      17364
  9   40119718    1354831          0          0        148        148       5161       5161          0    1344213          0      16391
 10   18973819       5022          0          0        199        199       2312       2312          0          0          0       9722
 11    3858542     505136          0          0          4          4        537        537          0     504054          0      15811
 12    4193350        998          0          0          1          1        498        498          0          0          0       4061
 13   13770630       3644          0          0         62         62       1760       1760          0          0          0      14623
 14    4850419       1244          0          0          2          2        620        620          0          0          0      10415
 15   35823479       9108          0          0        110        110       4444       4444          0          0          0       7194
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   31.436s ( 1017.956 blocks/s,  63.622 Mb/s)
Attachment 2: Screenshot_from_2024-06-14_08-46-40.png
Screenshot_from_2024-06-14_08-46-40.png
Attachment 3: Screenshot_from_2024-06-14_08-47-06.png
Screenshot_from_2024-06-14_08-47-06.png
Attachment 4: Screenshot_from_2024-06-14_08-49-23.png
Screenshot_from_2024-06-14_08-49-23.png
Attachment 5: Screenshot_from_2024-06-14_08-49-56.png
Screenshot_from_2024-06-14_08-49-56.png
Attachment 6: Screenshot_from_2024-06-14_08-50-17.png
Screenshot_from_2024-06-14_08-50-17.png
Attachment 7: Screenshot_from_2024-06-14_08-53-11.png
Screenshot_from_2024-06-14_08-53-11.png
Attachment 8: Screenshot_from_2024-06-14_08-51-44.png
Screenshot_from_2024-06-14_08-51-44.png
Attachment 9: Screenshot_from_2024-06-14_08-54-47.png
Screenshot_from_2024-06-14_08-54-47.png
Attachment 10: Screenshot_from_2024-06-14_08-53-58.png
Screenshot_from_2024-06-14_08-53-58.png
Attachment 11: Screenshot_from_2024-06-14_08-55-50.png
Screenshot_from_2024-06-14_08-55-50.png
Attachment 12: Screenshot_from_2024-06-14_08-56-36.png
Screenshot_from_2024-06-14_08-56-36.png
Attachment 13: Screenshot_from_2024-06-14_08-56-13.png
Screenshot_from_2024-06-14_08-56-13.png
Attachment 14: Screenshot_from_2024-06-14_10-51-34.png
Screenshot_from_2024-06-14_10-51-34.png
Attachment 15: Screenshot_from_2024-06-14_10-53-46.png
Screenshot_from_2024-06-14_10-53-46.png
Attachment 16: Screenshot_from_2024-06-14_10-54-27.png
Screenshot_from_2024-06-14_10-54-27.png
Attachment 17: Screenshot_from_2024-06-14_10-55-24.png
Screenshot_from_2024-06-14_10-55-24.png
Attachment 18: R8_122
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257243299 ( 1885175.7 Hz)
        Other data format:    4676701 (   34272.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1054 (       7.7 Hz)
                               RESUME:       1054 (       7.7 Hz)
                              SYNC100:      32509 (     238.2 Hz)
                              WR48-63:      32509 (     238.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4609575 (   33780.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     643720 (    4717.4 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      136.456 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.291                0.000
  1                0.331                0.000
  2                0.072                0.000
  3                0.583                0.000
  4                0.004                0.000
  5                1.153                0.000
  6                0.002                0.000
  7               10.599                0.000
  8                0.009                0.000
  9                3.557                0.000
 10                2.108                0.000
 11                0.007                0.000
 12                0.000                0.000
 13                0.583                0.000
 14                0.004                0.000
 15                1.925                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13787962       7660          0          0         31         31       1756       1756          0       4086          0      17199
  1   11491912       2978          0          0         24         24       1465       1465          0          0          0      38699
  2   14079661    1398532          0          0         10         10       1939       1939          0    1394634          0      23119
  3   19048179       4880          0          0         43         43       2397       2397          0          0          0      31229
  4    5716791     616800          0          0          1          1        782        782          0     615234          0      10346
  5   18363851       4878          0          0        113        113       2326       2326          0          0          0      35150
  6    5742131     471711          0          0          1          1        755        755          0     470199          0      22729
  7   44929108      11550          0          0        247        247       5528       5528          0          0          0     318806
  8    6563442       1628          0          0          4          4        810        810          0          0          0      26254
  9   37095189    1366938          0          0        146        146       4783       4783          0    1357080          0      24684
 10   18370423       4942          0          0        241        241       2230       2230          0          0          0      15154
 11    4582984     769694          0          0          2          2        674        674          0     768342          0      24358
 12    4619008       1036          0          0          0          0        518        518          0          0          0       6521
 13   14138411       3650          0          0         78         78       1747       1747          0          0          0      22204
 14    5321169       1274          0          0          2          2        635        635          0          0          0      16080
 15   33393078       8550          0          0        111        111       4164       4164          0          0          0      11188
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   31.508s ( 1015.621 blocks/s,  63.476 Mb/s)
Attachment 19: Screenshot_from_2024-06-14_14-23-54.png
Screenshot_from_2024-06-14_14-23-54.png
Attachment 20: Screenshot_from_2024-06-14_14-24-24.png
Screenshot_from_2024-06-14_14-24-24.png
Attachment 21: Screenshot_from_2024-06-14_14-25-10.png
Screenshot_from_2024-06-14_14-25-10.png
Attachment 22: Screenshot_from_2024-06-14_14-25-38.png
Screenshot_from_2024-06-14_14-25-38.png
Attachment 23: R8_213
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258517055 ( 1934722.8 Hz)
        Other data format:    3402945 (   25467.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1143 (       8.6 Hz)
                               RESUME:       1142 (       8.5 Hz)
                              SYNC100:      32498 (     243.2 Hz)
                              WR48-63:      32498 (     243.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3335664 (   24963.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     475836 (    3561.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      133.620 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.550                0.000
  1                0.000                0.000
  2                0.143                0.000
  3                1.051                0.000
  4                0.044                0.000
  5                1.439                0.000
  6                0.003                0.000
  7                8.857                0.000
  8                0.012                0.000
  9                4.685                0.000
 10                3.463                0.000
 11                0.020                0.000
 12                0.000                0.000
 13                0.869                0.000
 14                0.008                0.000
 15                2.432                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   18250814       8751          0          0         55         55       2330       2330          0       3981          0       9142
  1          0          0          0          0          0          0          0          0          0          0          0          0
  2   17501975    1182466          0          0         15         15       2393       2393          0    1177650          0      12677
  3   17523014       4524          0          0         49         49       2213       2213          0          0          0      16471
  4    6950058     338741          0          0          8          8        923        923          0     336879          0       5558
  5   16698357       4354          0          0        104        104       2073       2073          0          0          0      18504
  6    5487299     262839          0          0          1          1        716        716          0     261405          0      12626
  7   43452557      11094          0          0        210        210       5337       5337          0          0          0     321021
  8    5704212       1552          0          0          1          1        775        775          0          0          0      14649
  9   38744177    1147432          0          0        173        172       4922       4922          0    1137243          0      13757
 10   21009531       5724          0          0        299        299       2563       2563          0          0          0       7991
 11    4841879     419852          0          0          4          4        669        669          0     418506          0      13290
 12    4136803       1032          0          0          0          0        516        516          0          0          0       3489
 13   16727954       4328          0          0        114        114       2050       2050          0          0          0      12047
 14    5878222       1412          0          0          1          1        705        705          0          0          0       8774
 15   35610203       8844          0          0        109        109       4313       4313          0          0          0       5840
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   32.188s (  994.175 blocks/s,  62.136 Mb/s)
  661   Thu Jun 13 23:04:01 2024 Dan Judson, TD0.00-8.00 14/6/24

0.00 checks - all looks ok

Voltage/currents - attachment 1

Rates attachment 2 + 3

Temps - attachment 4

Merger - attachment 5

ucesb - attachment 6

Grafana - attachment 7

 

1.30 -aida1 in merger Links, aida02 in rate spectra, has stopped - resetting DAQ.

Datafile R7_961 being written when stopped

1.40 - seems ok

 

1.50 checks - seems ok

Voltage/currents - attachment 8

Rates attachment 9 + 10

Temps - attachment 11

Merger - attachment 12

ucesb - attachment 13

Grafana - attachment 14

 

3.30 -aida1 in merger Links, aida02 in rate spectra, has stopped again - resetting DAQ.

Datafile R7_1010 being written when stopped

3.38 - seems ok

 

3.40 checks - all looks ok

Voltage/currents - attachment 15

Rates attachment 16 + 17

Temps - attachment 18

Merger - attachment 19

ucesb - attachment 20

Grafana - attachment 21

 

Zeroed spectra - attachments 22-28

 

 

5.39 -aida1 in merger Links, aida02 in rate spectra, has stopped again - resetting DAQ.

Datafile R7_1062 being written when stopped

AIDA out of the main data

Could not get it to restart folowing the notes on the elog - SOAP errors as shown in attachment 29. called Tom who''s logged in 

6.10 - seems to be working again. Not sure what happened. Tom thinks multiple restarts fixed it

Started data file R8

AIDA back in the main data

 

FRS shifters report a problem with the AIDA white rabbit time stamp - attachment 30

The following are timestamp values from each of the FEEs taken in sequence
If time does not increase in a reasonable manner run the system wide checks

aida01 : White Rabbit=>  17D8C4ED 8F46CF7B , WR/10=>   2627A17C18714BF, Readout Time =>   2627A17C2A74000
aida02 : White Rabbit=>  17D8C4ED A0A1B623 , WR/10=>   2627A17C3435F03, Readout Time =>   2627A17C4410000
aida03 : White Rabbit=>  17D8C4ED AFDACE68 , WR/10=>   2627A17C4C914A4, Readout Time =>   2627A17C5D3C000
aida04 : White Rabbit=>  17D8C4ED C0516FC2 , WR/10=>   2627A17C66E8B2D, Readout Time =>   2627A17C7AC4000
aida05 : White Rabbit=>  17D8C4ED D3B93DAB , WR/10=>   2627A17C85F52F7, Readout Time =>   2627A17C9AEC000
aida06 : White Rabbit=>  17D8C4ED E8C9B243 , WR/10=>   2627A17CA7A91D3, Readout Time =>   2627A17CB704000
aida07 : White Rabbit=>  17D8C4ED FFE475FD , WR/10=>   2627A17CCCA0BCC, Readout Time =>   2627A17CDD94000
aida08 : White Rabbit=>  17D8C4EE 103232A6 , WR/10=>   2627A17CE6B6B77, Readout Time =>   2627A17CF7BC000
aida09 : White Rabbit=>  17D8C4EE 20A1F612 , WR/10=>   2627A17D0103235, Readout Time =>   2627A17D112C000
aida10 : White Rabbit=>  17D8C4EE 307D8FE7 , WR/10=>   2627A17D1A627FD, Readout Time =>   2627A17D2958000
aida11 : White Rabbit=>  17D8C4EE 4402829D , WR/10=>   2627A17D399D9DC, Readout Time =>   2627A17D4570000
aida12 : White Rabbit=>  17D8C4EE 6288B04D , WR/10=>   2627A17D6A744D4, Readout Time =>   2627A17D7D94000
aida13 : White Rabbit=>  17D8C4EE 751E1306 , WR/10=>   2627A17D88301E7, Readout Time =>   2627A17D9C34000
aida14 : White Rabbit=>  17D8C4EE 894E8806 , WR/10=>   2627A17DA87DA67, Readout Time =>   2627A17DBFF4000
aida15 : White Rabbit=>  17D8C4EE 9EEEB997 , WR/10=>   2627A17DCB178F5, Readout Time =>   2627A17DDC18000
aida16 : White Rabbit=>  17D8C4EE AF0BC923 , WR/10=>   2627A17DE4DFA83, Readout Time =>   2627A17DF5C8000

Tom thinks everything appears ok with AIDA

Have converted WR timestamp to data/time - see attachment 40

At the ~second timescale AIDA WR timestamp looks OK, i.e. no gross errors. Will need to check correlations with other detector sub-systems to confirm WR on the ~us time timescale.. 

However, ~10us offset reported by DESPEC online crew is correct (AIDA timestamps data at a later point in the signal processing cycle than other detector sub-systems). Have suggested online crew contact Nic or Calum to check what the AIDA WR timediff spectra should look like.

 

 

7.00 checks - all looks ok

Voltage/currents - attachment 31

Rates attachment 32 + 33

Temps - attachment 36

Merger - attachment 37

ucesb - attachment 39

Grafana - attachment 38

 

Handed over to Tom

 

Attachment 1: Screenshot_from_2024-06-13_23-58-44.png
Screenshot_from_2024-06-13_23-58-44.png
Attachment 2: Screenshot_from_2024-06-13_23-59-34.png
Screenshot_from_2024-06-13_23-59-34.png
Attachment 3: Screenshot_from_2024-06-14_00-00-09.png
Screenshot_from_2024-06-14_00-00-09.png
Attachment 4: Screenshot_from_2024-06-14_00-00-43.png
Screenshot_from_2024-06-14_00-00-43.png
Attachment 5: Screenshot_from_2024-06-14_00-01-36.png
Screenshot_from_2024-06-14_00-01-36.png
Attachment 6: Screenshot_from_2024-06-14_00-02-45.png
Screenshot_from_2024-06-14_00-02-45.png
Attachment 7: Screenshot_from_2024-06-14_00-03-26.png
Screenshot_from_2024-06-14_00-03-26.png
Attachment 8: Screenshot_from_2024-06-14_01-47-38.png
Screenshot_from_2024-06-14_01-47-38.png
Attachment 9: Screenshot_from_2024-06-14_01-48-12.png
Screenshot_from_2024-06-14_01-48-12.png
Attachment 10: Screenshot_from_2024-06-14_01-48-45.png
Screenshot_from_2024-06-14_01-48-45.png
Attachment 11: Screenshot_from_2024-06-14_01-49-16.png
Screenshot_from_2024-06-14_01-49-16.png
Attachment 12: Screenshot_from_2024-06-14_01-49-48.png
Screenshot_from_2024-06-14_01-49-48.png
Attachment 13: Screenshot_from_2024-06-14_01-50-46.png
Screenshot_from_2024-06-14_01-50-46.png
Attachment 14: Screenshot_from_2024-06-14_01-51-18.png
Screenshot_from_2024-06-14_01-51-18.png
Attachment 15: Screenshot_from_2024-06-14_03-40-33.png
Screenshot_from_2024-06-14_03-40-33.png
Attachment 16: Screenshot_from_2024-06-14_03-40-56.png
Screenshot_from_2024-06-14_03-40-56.png
Attachment 17: Screenshot_from_2024-06-14_03-41-35.png
Screenshot_from_2024-06-14_03-41-35.png
Attachment 18: Screenshot_from_2024-06-14_03-42-15.png
Screenshot_from_2024-06-14_03-42-15.png
Attachment 19: Screenshot_from_2024-06-14_03-42-42.png
Screenshot_from_2024-06-14_03-42-42.png
Attachment 20: Screenshot_from_2024-06-14_03-43-39.png
Screenshot_from_2024-06-14_03-43-39.png
Attachment 21: Screenshot_from_2024-06-14_03-44-11.png
Screenshot_from_2024-06-14_03-44-11.png
Attachment 22: Screenshot_from_2024-06-14_03-53-08.png
Screenshot_from_2024-06-14_03-53-08.png
Attachment 23: Screenshot_from_2024-06-14_03-53-51.png
Screenshot_from_2024-06-14_03-53-51.png
Attachment 24: Screenshot_from_2024-06-14_03-54-36.png
Screenshot_from_2024-06-14_03-54-36.png
Attachment 25: Screenshot_from_2024-06-14_03-55-19.png
Screenshot_from_2024-06-14_03-55-19.png
Attachment 26: Screenshot_from_2024-06-14_03-55-50.png
Screenshot_from_2024-06-14_03-55-50.png
Attachment 27: Screenshot_from_2024-06-14_03-56-56.png
Screenshot_from_2024-06-14_03-56-56.png
Attachment 28: Screenshot_from_2024-06-14_03-58-10.png
Screenshot_from_2024-06-14_03-58-10.png
Attachment 29: Screenshot_from_2024-06-14_05-52-47.png
Screenshot_from_2024-06-14_05-52-47.png
Attachment 30: Screenshot_2024-06-14_at_05.31.21.png
Screenshot_2024-06-14_at_05.31.21.png
Attachment 31: Screenshot_from_2024-06-14_07-02-14.png
Screenshot_from_2024-06-14_07-02-14.png
Attachment 32: Screenshot_from_2024-06-14_07-00-53.png
Screenshot_from_2024-06-14_07-00-53.png
Attachment 33: Screenshot_from_2024-06-14_07-01-20.png
Screenshot_from_2024-06-14_07-01-20.png
Attachment 34: Screenshot_from_2024-06-14_07-01-55.png
Screenshot_from_2024-06-14_07-01-55.png
Attachment 35: Screenshot_from_2024-06-14_07-02-47.png
Screenshot_from_2024-06-14_07-02-47.png
Attachment 36: Screenshot_from_2024-06-14_07-01-55.png
Screenshot_from_2024-06-14_07-01-55.png
Attachment 37: Screenshot_from_2024-06-14_07-02-47.png
Screenshot_from_2024-06-14_07-02-47.png
Attachment 38: Screenshot_from_2024-06-14_07-03-23.png
Screenshot_from_2024-06-14_07-03-23.png
Attachment 39: Screenshot_from_2024-06-14_07-04-01.png
Screenshot_from_2024-06-14_07-04-01.png
Attachment 40: Capture.PNG
Capture.PNG
  660   Thu Jun 13 21:06:44 2024 Robert Page16:00 to 00:00 shift on 13/6/2024

Elog went down around the end of the last shift, so final screenshots were not uploaded at that point.

However, AIDA appears to have contuned running smoothly in the interim (at least until 22:00).

 

Attachments 1 - 6 were taken around 16:00, 7 - 13 at around 18:00 , 14 - 18 at around 21:00.

 

Another set of sceenshots taken at around 23:00 - attachments 19 - 26.

 

 

Attachment 1: Screenshot_2024-06-13_at_16-02-52_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_16-02-52_AIDA_-_Grafana.png
Attachment 2: Screenshot_2024-06-13_at_16-05-12_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_16-05-12_Temperature_and_status_scan_aidas-gsi.png
Attachment 3: Screenshot_2024-06-13_at_16-05-28_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_16-05-28_Statistics_aidas-gsi.png
Attachment 4: Screenshot_2024-06-13_at_16-09-18_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_16-09-18_NewMerger_Control_aidas-gsi.png
Attachment 5: Screenshot_2024-06-13_at_16-09-41_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_16-09-41_Tape_Service_(Expert)_aidas-gsi.png
Attachment 6: Screenshot_from_2024-06-13_16-04-29.png
Screenshot_from_2024-06-13_16-04-29.png
Attachment 7: Screenshot_from_2024-06-13_17-34-30.png
Screenshot_from_2024-06-13_17-34-30.png
Attachment 8: Screenshot_from_2024-06-13_18-02-57.png
Screenshot_from_2024-06-13_18-02-57.png
Attachment 9: Screenshot_2024-06-13_at_18-01-10_ucesb.png
Screenshot_2024-06-13_at_18-01-10_ucesb.png
Attachment 10: Screenshot_2024-06-13_at_18-05-05_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_18-05-05_Temperature_and_status_scan_aidas-gsi.png
Attachment 11: Screenshot_2024-06-13_at_18-05-39_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-06-13_at_18-05-39_Spectrum_Browser_aidas-gsi.png
Attachment 12: Screenshot_2024-06-13_at_18-06-06_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_18-06-06_Statistics_aidas-gsi.png
Attachment 13: Screenshot_2024-06-13_at_18-20-26_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_18-20-26_AIDA_-_Grafana.png
Attachment 14: Screenshot_2024-06-13_at_20-55-49_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_20-55-49_Statistics_aidas-gsi.png
Attachment 15: Screenshot_2024-06-13_at_20-56-12_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-06-13_at_20-56-12_Spectrum_Browser_aidas-gsi.png
Attachment 16: Screenshot_2024-06-13_at_20-56-34_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_20-56-34_Temperature_and_status_scan_aidas-gsi.png
Attachment 17: Screenshot_2024-06-13_at_20-57-39_ucesb.png
Screenshot_2024-06-13_at_20-57-39_ucesb.png
Attachment 18: Screenshot_from_2024-06-13_20-55-04.png
Screenshot_from_2024-06-13_20-55-04.png
Attachment 19: Screenshot_from_2024-06-13_22-52-59.png
Screenshot_from_2024-06-13_22-52-59.png
Attachment 20: Screenshot_2024-06-13_at_22-53-37_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_22-53-37_Statistics_aidas-gsi.png
Attachment 21: Screenshot_2024-06-13_at_22-54-01_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-06-13_at_22-54-01_Spectrum_Browser_aidas-gsi.png
Attachment 22: Screenshot_2024-06-13_at_22-54-24_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_22-54-24_Temperature_and_status_scan_aidas-gsi.png
Attachment 23: Screenshot_2024-06-13_at_22-55-49_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_22-55-49_NewMerger_Control_aidas-gsi.png
Attachment 24: Screenshot_2024-06-13_at_22-56-12_ucesb.png
Screenshot_2024-06-13_at_22-56-12_ucesb.png
Attachment 25: Screenshot_2024-06-13_at_22-56-26_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_22-56-26_AIDA_-_Grafana.png
Attachment 26: Screenshot_2024-06-13_at_22-55-18_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_22-55-18_Tape_Service_(Expert)_aidas-gsi.png
  659   Thu Jun 13 06:49:54 2024 Magda Satrazani08:00 - 16:00 Thursday 13 June 2024

Took over the shift from Marc.

08:00 FULL CHECK
DSSSD bias & leakage current  ok - attachment # 1-2
FEE64 temperatures  ok - attachment # 3
ADC data item stats - attachment # 4
Merger ok - Attachement # 5
Tape service - attachement # 6

10:00 FULL CHECK
DSSSD bias & leakage current  ok - attachment # 7-8
FEE64 temperatures  ok - attachment # 9
ADC data item stats - attachment # 10
Merger ok - Attachement # 11
Tape service - attachement # 12

12:00 FULL CHECK
DSSSD bias & leakage current  ok - attachment # 13-14
FEE64 temperatures  ok - attachment # 15
ADC data item stats - attachment # 16
Merger ok - Attachement # 17
Tape service - attachement # 18

 

 

12.34 analysis data file S181/R7_610 - attachment 19

max deadtime 8.7% (aida08), all other FEE64s < 2.7%

LEC data rate 2.000M, HEC data rate 4.7k

 

12.52 per p+n FEE64 1.8.L spectra - attachment 20

 aida09 pulser peak width 63 ch FWHM

per FEE64 1.8.H spectra - attachments 21-22

per FEE64 1.8.W spectra - 20us FSR - attachments 23-24

 

13.00 all histograms zero'd

 

14:00 FULL CHECK
DSSSD bias & leakage current  ok - attachment # 19-20
FEE64 temperatures  ok - attachment # 21
ADC data item stats - attachment # 22
Merger ok - Attachement # 23
Tape service - attachement # 24

 

13.01 Beam OFF for S4 access

ADC data item stats - attachment 25

per FEE64 Rate spectra - attachment 26

14.12 analysis data file R7_654 - attachment 27

max deadtime 3.9% (aida08), all other FEE64s < 3%

LEC data rate 1.817M, HEC data rate 2.5k

14.00 data file R7_649 - attachment 28

max deadtime 4.5% (aida08), all other FEE64s < 3%

LEC data rate 1.756M, HEC data rate 2.5k

 

 

16:00 FULL CHECK
DSSSD bias & leakage current  ok - attachment # 25-26
FEE64 temperatures  ok - attachment # 27
ADC data item stats - attachment # 28
Merger ok - Attachement # 29
Tape service - attachement # 30

Attachment 1: Screenshot_2024-06-13_at_07-54-16_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_07-54-16_AIDA_-_Grafana.png
Attachment 2: Screenshot_from_2024-06-13_07-52-18.png
Screenshot_from_2024-06-13_07-52-18.png
Attachment 3: Screenshot_2024-06-13_at_07-56-25_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_07-56-25_Temperature_and_status_scan_aidas-gsi.png
Attachment 4: Screenshot_2024-06-13_at_07-58-19_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_07-58-19_Statistics_aidas-gsi.png
Attachment 5: Screenshot_2024-06-13_at_08-02-24_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_08-02-24_NewMerger_Control_aidas-gsi.png
Attachment 6: Screenshot_2024-06-13_at_08-03-42_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_08-03-42_Tape_Service_(Expert)_aidas-gsi.png
Attachment 7: Screenshot_2024-06-13_at_10-03-46_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_10-03-46_AIDA_-_Grafana.png
Attachment 8: Screenshot_from_2024-06-13_10-05-11.png
Screenshot_from_2024-06-13_10-05-11.png
Attachment 9: Screenshot_2024-06-13_at_10-07-46_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_10-07-46_Temperature_and_status_scan_aidas-gsi.png
Attachment 10: Screenshot_2024-06-13_at_10-08-20_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_10-08-20_Statistics_aidas-gsi.png
Attachment 11: Screenshot_2024-06-13_at_10-10-56_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_10-10-56_NewMerger_Control_aidas-gsi.png
Attachment 12: Screenshot_2024-06-13_at_10-11-14_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_10-11-14_Tape_Service_(Expert)_aidas-gsi.png
Attachment 13: Screenshot_2024-06-13_at_12-03-03_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_12-03-03_AIDA_-_Grafana.png
Attachment 14: Screenshot_from_2024-06-13_12-03-51.png
Screenshot_from_2024-06-13_12-03-51.png
Attachment 15: Screenshot_2024-06-13_at_12-04-46_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_12-04-46_Temperature_and_status_scan_aidas-gsi.png
Attachment 16: Screenshot_2024-06-13_at_12-05-04_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_12-05-04_Statistics_aidas-gsi.png
Attachment 17: Screenshot_2024-06-13_at_12-06-12_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_12-06-12_NewMerger_Control_aidas-gsi.png
Attachment 18: Screenshot_2024-06-13_at_12-06-30_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_12-06-30_Tape_Service_(Expert)_aidas-gsi.png
Attachment 19: R7_610
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257594733 ( 2000279.2 Hz)
        Other data format:    4325267 (   33586.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1104 (       8.6 Hz)
                               RESUME:       1104 (       8.6 Hz)
                              SYNC100:      32479 (     252.2 Hz)
                              WR48-63:      32479 (     252.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4258101 (   33065.1 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     602185 (    4676.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      128.779 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.378                0.000
  1                0.764                0.000
  2                0.139                0.000
  3                0.656                0.000
  4                0.011                0.000
  5                1.499                0.000
  6                0.000                0.000
  7               11.233                0.000
  8                0.013                0.000
  9                3.452                0.000
 10                2.528                0.000
 11                0.011                0.000
 12                0.000                0.000
 13                0.520                0.000
 14                0.005                0.000
 15                2.249                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   15133196       7799          0          0         47         47       1922       1922          0       3861          0      15842
  1   11745456       3032          0          0         27         27       1489       1489          0          0          0      35232
  2   14852161    1309647          0          0         18         18       1989       1989          0    1305633          0      20871
  3   18562801       4858          0          0         50         50       2379       2379          0          0          0      27762
  4    6102528     560384          0          0          2          2        773        773          0     558834          0       9246
  5   18133649       4756          0          0        124        124       2254       2254          0          0          0      32497
  6    5708332     425318          0          0          0          0        727        727          0     423864          0      20696
  7   42953157      11164          0          0        244        244       5338       5338          0          0          0     306073
  8    6253278       1506          0          0          2          2        751        751          0          0          0      24189
  9   36712642    1280462          0          0        132        132       4801       4801          0    1270596          0      22769
 10   18971051       5070          0          0        273        273       2262       2262          0          0          0      13720
 11    4672661     696545          0          0          2          2        614        614          0     695313          0      22243
 12    4500765       1148          0          0          1          1        573        573          0          0          0       5817
 13   14826894       3760          0          0         66         66       1814       1814          0          0          0      20573
 14    5503214       1378          0          0          1          1        688        688          0          0          0      14645
 15   32962948       8440          0          0        115        115       4105       4105          0          0          0      10010
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   44.625s (  717.087 blocks/s,  44.818 Mb/s)
Attachment 20: Screenshot_from_2024-06-13_12-52-29.png
Screenshot_from_2024-06-13_12-52-29.png
Attachment 21: Screenshot_from_2024-06-13_12-54-14.png
Screenshot_from_2024-06-13_12-54-14.png
Attachment 22: Screenshot_from_2024-06-13_12-53-37.png
Screenshot_from_2024-06-13_12-53-37.png
Attachment 23: Screenshot_from_2024-06-13_12-55-33.png
Screenshot_from_2024-06-13_12-55-33.png
Attachment 24: Screenshot_from_2024-06-13_12-54-56.png
Screenshot_from_2024-06-13_12-54-56.png
Attachment 25: Screenshot_2024-06-13_at_14-03-30_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_14-03-30_AIDA_-_Grafana.png
Attachment 26: Screenshot_from_2024-06-13_14-03-07.png
Screenshot_from_2024-06-13_14-03-07.png
Attachment 27: Screenshot_2024-06-13_at_14-05-01_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_14-05-01_Temperature_and_status_scan_aidas-gsi.png
Attachment 28: Screenshot_2024-06-13_at_14-05-24_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_14-05-24_Statistics_aidas-gsi.png
Attachment 29: Screenshot_2024-06-13_at_14-06-51_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_14-06-51_NewMerger_Control_aidas-gsi.png
Attachment 30: Screenshot_2024-06-13_at_14-07-11_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_14-07-11_Tape_Service_(Expert)_aidas-gsi.png
Attachment 31: Screenshot_from_2024-06-13_14-17-01.png
Screenshot_from_2024-06-13_14-17-01.png
Attachment 32: Screenshot_from_2024-06-13_14-19-05.png
Screenshot_from_2024-06-13_14-19-05.png
Attachment 33: R7_654
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259951011 ( 1816478.8 Hz)
        Other data format:    1968989 (   13758.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        987 (       6.9 Hz)
                               RESUME:        987 (       6.9 Hz)
                              SYNC100:      32533 (     227.3 Hz)
                              WR48-63:      32533 (     227.3 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1901949 (   13290.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     354246 (    2475.4 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      143.107 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.509                0.000
  1                0.000                0.000
  2                0.189                0.000
  3                0.238                0.000
  4                0.004                0.000
  5                0.314                0.000
  6                0.000                0.000
  7                5.616                0.000
  8                0.000                0.000
  9                4.141                0.000
 10                3.021                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.437                0.000
 14                0.000                0.000
 15                2.204                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   17180431       8836          0          0         56         56       2220       2220          0       4284          0          0
  1    3455015        914          0          0          0          0        457        457          0          0          0          0
  2   16605423     962341          0          0         24         24       2144       2144          0     958005          0          0
  3   14977123       3970          0          0         39         39       1946       1946          0          0          0          0
  4    6343544       1554          0          0          1          1        776        776          0          0          0          0
  5   14745967       3758          0          0         68         68       1811       1811          0          0          0          0
  6    4885840       9840          0          0          0          0        627        627          0       8586          0          0
  7   46653553      11994          0          0        167        167       5830       5830          0          0          0     354246
  8    5022167       1302          0          0          0          0        651        651          0          0          0          0
  9   41378629     941868          0          0        154        154       5243       5243          0     931074          0          0
 10   21774767       5944          0          0        304        304       2668       2668          0          0          0          0
 11    3829761       1030          0          0          0          0        515        515          0          0          0          0
 12    3406542        774          0          0          0          0        387        387          0          0          0          0
 13   16951477       4402          0          0         63         63       2138       2138          0          0          0          0
 14    5065059       1154          0          0          0          0        577        577          0          0          0          0
 15   37675713       9308          0          0        111        111       4543       4543          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   41.719s (  767.041 blocks/s,  47.940 Mb/s)
Attachment 34: R7_649
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259542903 ( 1756063.7 Hz)
        Other data format:    2377097 (   16083.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        995 (       6.7 Hz)
                               RESUME:        994 (       6.7 Hz)
                              SYNC100:      32551 (     220.2 Hz)
                              WR48-63:      32551 (     220.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2310006 (   15629.5 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     373724 (    2528.6 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      147.798 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.337                0.000
  1                0.072                0.000
  2                0.087                0.000
  3                0.196                0.000
  4                0.011                0.000
  5                0.401                0.000
  6                0.000                0.000
  7                6.652                0.000
  8                0.000                0.000
  9                3.901                0.000
 10                2.506                0.000
 11                0.001                0.000
 12                0.000                0.000
 13                0.447                0.000
 14                0.000                0.000
 15                2.451                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   16241246       8589          0          0         45         45       2034       2034          0       4431          0       1142
  1    4109651       1104          0          0          4          4        548        548          0          0          0       2470
  2   15921172    1109604          0          0         15         15       2046       2046          0    1105482          0       1509
  3   15655639       3862          0          0         30         30       1901       1901          0          0          0       1938
  4    5992464      40675          0          0          2          2        792        792          0      39087          0        732
  5   15116945       3940          0          0         57         57       1913       1913          0          0          0       2309
  6    4949702      39054          0          0          0          0        642        642          0      37770          0       1486
  7   47384271      12428          0          0        197        197       6017       6017          0          0          0     352995
  8    5162177       1276          0          0          0          0        638        638          0          0          0       1588
  9   41669335    1085810          0          0        164        163       5344       5344          0    1074795          0       1446
 10   21487589       5832          0          0        286        286       2630       2630          0          0          0        957
 11    3666376      49337          0          0          1          1        447        447          0      48441          0       1546
 12    3498670        892          0          0          0          0        446        446          0          0          0        448
 13   16139721       3982          0          0         64         64       1927       1927          0          0          0       1446
 14    4880733       1226          0          0          0          0        613        613          0          0          0       1032
 15   37667212       9486          0          0        130        130       4613       4613          0          0          0        680
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   46.664s (  685.753 blocks/s,  42.860 Mb/s)
Attachment 35: Screenshot_2024-06-13_at_16-02-52_AIDA_-_Grafana.png
Screenshot_2024-06-13_at_16-02-52_AIDA_-_Grafana.png
Attachment 36: Screenshot_from_2024-06-13_16-04-29.png
Screenshot_from_2024-06-13_16-04-29.png
Attachment 37: Screenshot_2024-06-13_at_16-05-12_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-06-13_at_16-05-12_Temperature_and_status_scan_aidas-gsi.png
Attachment 38: Screenshot_2024-06-13_at_16-05-28_Statistics_aidas-gsi.png
Screenshot_2024-06-13_at_16-05-28_Statistics_aidas-gsi.png
Attachment 39: Screenshot_2024-06-13_at_16-09-18_NewMerger_Control_aidas-gsi.png
Screenshot_2024-06-13_at_16-09-18_NewMerger_Control_aidas-gsi.png
Attachment 40: Screenshot_2024-06-13_at_16-09-41_Tape_Service_(Expert)_aidas-gsi.png
Screenshot_2024-06-13_at_16-09-41_Tape_Service_(Expert)_aidas-gsi.png
  658   Wed Jun 12 23:00:16 2024 Marc00:00-08:00 Thursday 13 June

Starting a new night shift - All good

1am full check:
DSSSD bias & leakage current  ok - attachment # 1-2
FEE64 temperatures  ok - attachment # 3
ADC data item stats - attachment # 4
Merger ok - Attachement # 5
Tape service - attachement # 6

2:30am: AIDA02 FEE stopped working. DESPEC main DAQ was alerted and remobe AIDA from timestitcher while I was restarting AIDA DAQ.

2:45am: AIDA02  FEE is back and AIDA has been added to the timestitcher again in the main DAQ.

The AIDA runs at the time of the crash to look at are R7_348, 349.

All spectra were zeroed at this time and checked.

4:00 am full check:
DSSSD bias & leakage current  ok - attachment # 7-8
FEE64 temperatures  ok - attachment # 9
ADC data item stats - attachment # 10
Merger ok - attachement # 11
Tape service - attachement # 12
Spectra - attachement # 13
ucesb - attachement # 14

6:00am full check:
DSSSD bias & leakage current  ok - attachment # 15-16
FEE64 temperatures  ok - attachment # 17
ADC data item stats - attachment # 18
Merger ok - attachement # 19
Tape service - attachement # 20
Spectra - attachement # 21
ucesb - attachement # 22

6:30 the nearline/offline analysis team reported possible noise issue in DSSD#2 and asked if the threshold were changed at any point. I can't find any mention of changes in the elog. 
I've not done a setup of the all DAQ when AIDA02 fee crashes earlier this morning. I just did a setup of the merger. Thus I don't think the threshold have changed when I stopeed and restarted the DAQ.
I can see that currently slow comparator threshod are set to 0xa for most of the DSSD2 fees except for AIDA06 which is set to 0xf. I'm not sure this is the thershold we would need to increase. Something to check during the next shift.

In the UCESB window I can see that the number of decay in DSSD2 is double the number of decay in DSSD1 while the number of implant is similar. Looking at other shift it looks that this was alsready the case in prvious shifts.

 

07.06 analysis of data file S181/R7_457 - attachment 23

 max deadtime 5.8% aida08, all other FEE64s < 1.4%

LEC data rate 1.788M, HEC data rate 4.3k

 

Attachment 1: Screenshot_from_2024-06-13_01-04-30.png
Screenshot_from_2024-06-13_01-04-30.png
Attachment 2: Screenshot_from_2024-06-13_01-06-23.png
Screenshot_from_2024-06-13_01-06-23.png
Attachment 3: Screenshot_from_2024-06-13_01-07-02.png
Screenshot_from_2024-06-13_01-07-02.png
Attachment 4: Screenshot_from_2024-06-13_01-07-36.png
Screenshot_from_2024-06-13_01-07-36.png
Attachment 5: Screenshot_from_2024-06-13_01-08-39.png
Screenshot_from_2024-06-13_01-08-39.png
Attachment 6: Screenshot_from_2024-06-13_01-08-02.png
Screenshot_from_2024-06-13_01-08-02.png
Attachment 7: Screenshot_from_2024-06-13_04-41-04.png
Screenshot_from_2024-06-13_04-41-04.png
Attachment 8: Screenshot_from_2024-06-13_04-41-38.png
Screenshot_from_2024-06-13_04-41-38.png
Attachment 9: Screenshot_from_2024-06-13_04-42-19.png
Screenshot_from_2024-06-13_04-42-19.png
Attachment 10: Screenshot_from_2024-06-13_04-42-45.png
Screenshot_from_2024-06-13_04-42-45.png
Attachment 11: Screenshot_from_2024-06-13_04-46-50.png
Screenshot_from_2024-06-13_04-46-50.png
Attachment 12: Screenshot_from_2024-06-13_04-47-09.png
Screenshot_from_2024-06-13_04-47-09.png
Attachment 13: Screenshot_from_2024-06-13_04-45-02.png
Screenshot_from_2024-06-13_04-45-02.png
Attachment 14: Screenshot_from_2024-06-13_04-48-07.png
Screenshot_from_2024-06-13_04-48-07.png
Attachment 15: Screenshot_from_2024-06-13_06-01-55.png
Screenshot_from_2024-06-13_06-01-55.png
Attachment 16: Screenshot_from_2024-06-13_06-02-13.png
Screenshot_from_2024-06-13_06-02-13.png
Attachment 17: Screenshot_from_2024-06-13_06-03-06.png
Screenshot_from_2024-06-13_06-03-06.png
Attachment 18: Screenshot_from_2024-06-13_06-02-41.png
Screenshot_from_2024-06-13_06-02-41.png
Attachment 19: Screenshot_from_2024-06-13_06-04-13.png
Screenshot_from_2024-06-13_06-04-13.png
Attachment 20: Screenshot_from_2024-06-13_06-04-37.png
Screenshot_from_2024-06-13_06-04-37.png
Attachment 21: Screenshot_from_2024-06-13_06-03-37.png
Screenshot_from_2024-06-13_06-03-37.png
Attachment 22: Screenshot_from_2024-06-13_06-05-30.png
Screenshot_from_2024-06-13_06-05-30.png
Attachment 23: R7_457
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257532265 ( 1788132.4 Hz)
        Other data format:    4387735 (   30465.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1032 (       7.2 Hz)
                               RESUME:       1032 (       7.2 Hz)
                              SYNC100:      32537 (     225.9 Hz)
                              WR48-63:      32537 (     225.9 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4320597 (   29999.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     613796 (    4261.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      144.023 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.236                0.000
  1                0.214                0.000
  2                0.096                0.000
  3                0.448                0.000
  4                0.012                0.000
  5                0.887                0.000
  6                0.003                0.000
  7                8.383                0.000
  8                0.002                0.000
  9                3.230                0.000
 10                1.587                0.000
 11                0.001                0.000
 12                0.000                0.000
 13                0.540                0.000
 14                0.000                0.000
 15                1.978                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13645957       7863          0          0         38         38       1738       1738          0       4311          0      14717
  1    9668775       2474          0          0         22         22       1215       1215          0          0          0      34051
  2   13971257    1386114          0          0         14         14       1900       1900          0    1382286          0      19760
  3   18385419       4726          0          0         51         51       2312       2312          0          0          0      26170
  4    5575749     526316          0          0          3          3        775        775          0     524760          0       8695
  5   17895997       4760          0          0        110        110       2270       2270          0          0          0      31206
  6    5682061     408056          0          0          1          1        783        783          0     406488          0      19551
  7   46828757      11780          0          0        204        204       5686       5686          0          0          0     333264
  8    6455085       1532          0          0          1          1        765        765          0          0          0      22949
  9   38428621    1359707          0          0        141        141       4975       4975          0    1349475          0      21660
 10   18781575       5148          0          0        247        247       2327       2327          0          0          0      13075
 11    4229184     654561          0          0          1          1        641        641          0     653277          0      20787
 12    4432128       1092          0          0          0          0        546        546          0          0          0       5445
 13   14014813       3450          0          0         86         86       1639       1639          0          0          0      19095
 14    5156679       1244          0          0          0          0        622        622          0          0          0      13849
 15   34380208       8912          0          0        113        113       4343       4343          0          0          0       9522
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   52.717s (  607.017 blocks/s,  37.939 Mb/s)
  657   Wed Jun 12 15:41:07 2024 Betool Alayed16:00-00:00 Wed 12 Jun 24

5pm full checks:
DSSSD bias & leakage current  ok - attachment # 1-2
FEE64 temperatures  ok - attachment # 3
ADC data item stats - attachment # 4
Merger ok - Attachement # 5
Tape service - attachement # 6

7pm full checks:
DSSSD bias & leakage current  ok - attachment # 7-8
FEE64 temperatures  ok - attachment # 9
ADC data item stats - attachment # 10
Merger ok - Attachement # 11
Tape service - attachement # 12
ucesb - attachment # 13

9pm full checks:
DSSSD bias & leakage current ok - attachment# 14-15
FEE64 temperatures ok - attachment # 16
ADC data item stats - attachment # 17
Merger ok - Attachement # 18
Tape service - attachement # 19
ucesb - attachment # 20

11pm full checks:
DSSSD bias & leakage current ok - attachment# 21-22
FEE64 temperatures ok - attachment # 23
ADC data item stats - attachment # 24
Merger ok - Attachement # 25
Tape service - attachement # 26
ucesb - attachment # 27

Attachment 1: Screenshot_from_2024-06-12_17-00-31.png
Screenshot_from_2024-06-12_17-00-31.png
Attachment 2: Screenshot_from_2024-06-12_17-01-10.png
Screenshot_from_2024-06-12_17-01-10.png
Attachment 3: Screenshot_from_2024-06-12_17-02-29.png
Screenshot_from_2024-06-12_17-02-29.png
Attachment 4: Screenshot_from_2024-06-12_17-03-14.png
Screenshot_from_2024-06-12_17-03-14.png
Attachment 5: Screenshot_from_2024-06-12_17-31-47.png
Screenshot_from_2024-06-12_17-31-47.png
Attachment 6: Screenshot_from_2024-06-12_17-31-13.png
Screenshot_from_2024-06-12_17-31-13.png
Attachment 7: Screenshot_from_2024-06-12_19-03-51.png
Screenshot_from_2024-06-12_19-03-51.png
Attachment 8: Screenshot_from_2024-06-12_19-04-39.png
Screenshot_from_2024-06-12_19-04-39.png
Attachment 9: Screenshot_from_2024-06-12_19-05-34.png
Screenshot_from_2024-06-12_19-05-34.png
Attachment 10: Screenshot_from_2024-06-12_19-06-05.png
Screenshot_from_2024-06-12_19-06-05.png
Attachment 11: Screenshot_from_2024-06-12_19-07-15.png
Screenshot_from_2024-06-12_19-07-15.png
Attachment 12: Screenshot_from_2024-06-12_19-08-02.png
Screenshot_from_2024-06-12_19-08-02.png
Attachment 13: Screenshot_from_2024-06-12_19-12-15.png
Screenshot_from_2024-06-12_19-12-15.png
Attachment 14: Screenshot_from_2024-06-12_21-01-54.png
Screenshot_from_2024-06-12_21-01-54.png
Attachment 15: Screenshot_from_2024-06-12_21-03-08.png
Screenshot_from_2024-06-12_21-03-08.png
Attachment 16: Screenshot_from_2024-06-12_21-03-52.png
Screenshot_from_2024-06-12_21-03-52.png
Attachment 17: Screenshot_from_2024-06-12_21-04-48.png
Screenshot_from_2024-06-12_21-04-48.png
Attachment 18: Screenshot_from_2024-06-12_21-06-37.png
Screenshot_from_2024-06-12_21-06-37.png
Attachment 19: Screenshot_from_2024-06-12_21-07-16.png
Screenshot_from_2024-06-12_21-07-16.png
Attachment 20: Screenshot_from_2024-06-12_21-08-36.png
Screenshot_from_2024-06-12_21-08-36.png
Attachment 21: Screenshot_from_2024-06-12_22-58-59.png
Screenshot_from_2024-06-12_22-58-59.png
Attachment 22: Screenshot_from_2024-06-12_22-59-43.png
Screenshot_from_2024-06-12_22-59-43.png
Attachment 23: Screenshot_from_2024-06-12_23-01-09.png
Screenshot_from_2024-06-12_23-01-09.png
Attachment 24: Screenshot_from_2024-06-12_23-02-14.png
Screenshot_from_2024-06-12_23-02-14.png
Attachment 25: Screenshot_from_2024-06-12_23-03-09.png
Screenshot_from_2024-06-12_23-03-09.png
Attachment 26: Screenshot_from_2024-06-12_23-03-48.png
Screenshot_from_2024-06-12_23-03-48.png
Attachment 27: Screenshot_from_2024-06-12_23-05-26.png
Screenshot_from_2024-06-12_23-05-26.png
  656   Wed Jun 12 11:06:55 2024 PPMid-shift checks, 12:00

All seems normal.

Screenshots attached.

Attachment 1: Screenshot_from_2024-06-12_12-07-32.png
Screenshot_from_2024-06-12_12-07-32.png
Attachment 2: Screenshot_from_2024-06-12_12-07-59.png
Screenshot_from_2024-06-12_12-07-59.png
Attachment 3: Screenshot_from_2024-06-12_12-08-24.png
Screenshot_from_2024-06-12_12-08-24.png
Attachment 4: Screenshot_from_2024-06-12_12-09-09.png
Screenshot_from_2024-06-12_12-09-09.png
Attachment 5: Screenshot_from_2024-06-12_12-10-02.png
Screenshot_from_2024-06-12_12-10-02.png
Attachment 6: Screenshot_from_2024-06-12_12-10-30.png
Screenshot_from_2024-06-12_12-10-30.png
Attachment 7: Screenshot_from_2024-06-12_12-10-46.png
Screenshot_from_2024-06-12_12-10-46.png
Attachment 8: Screenshot_from_2024-06-12_12-11-41.png
Screenshot_from_2024-06-12_12-11-41.png
  655   Wed Jun 12 06:17:23 2024 TD08:00-16:00 Wednesday 12 June
07:10 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data items stats OK - attachment 3
   
      per FEE64 Rate spectra - attachment 4

      Merger, TapeServer etc - attachment 5

      per p+n FEE64 1.8.L spectra - attachment 6
       aida09 pulser peak width 68 ch FWHM 
07.13  peak area 25253 total area 27548 in 221mins => 1.9-2.1Hz => deadtime < 5% or less which is consistent with data file analysis below

      analysis data file S181/R6_274 - attachment 
       max FEE64 deadtime 24% aida08
       HEC data rate 4.5kHz, LEC data rate 2.284M


14.00 DAQ crashed by accident
      FEE64s power cycled 
      DAQ restarted
      data file S181/R7

      DSSSD bias & leakage current OK - attachments 8-9

      FEE64 temperatures OK - attachment 10

      ADC data item stats - attachment 11

      WR timestamps OK - attachment 12

      All system wide checks *except* as reported attachments 13-15

      per FEE64 Rate spectra - attachment 16

      per p+n FEE64 1.8.L spectra - attachment 17
       aida09 pulser peak width 66 ch FWHM

      per FEE64 1.8.H spectra - attachments 18-19

      per FEE64 1.8.W spectra - 20us FSR - attachment 20-21

      Merger, TapeServer etc - attachments 22-23
       data file S181/R7_16

      analysis data file S181/R7_16
       max deadtime 7.3% aida08, all other FEE64s < 2%
       LEC data rate 1.877M HEC data rate 4.7k
Attachment 1: Screenshot_from_2024-06-12_07-10-38.png
Screenshot_from_2024-06-12_07-10-38.png
Attachment 2: Screenshot_from_2024-06-12_07-13-55.png
Screenshot_from_2024-06-12_07-13-55.png
Attachment 3: Screenshot_from_2024-06-12_07-14-23.png
Screenshot_from_2024-06-12_07-14-23.png
Attachment 4: Screenshot_from_2024-06-12_07-15-39.png
Screenshot_from_2024-06-12_07-15-39.png
Attachment 5: Screenshot_from_2024-06-12_07-16-37.png
Screenshot_from_2024-06-12_07-16-37.png
Attachment 6: Screenshot_from_2024-06-12_07-13-22.png
Screenshot_from_2024-06-12_07-13-22.png
Attachment 7: R6_506
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258515762 ( 2284450.0 Hz)
        Other data format:    3404238 (   30082.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1713 (      15.1 Hz)
                               RESUME:       1713 (      15.1 Hz)
                              SYNC100:      32421 (     286.5 Hz)
                              WR48-63:      32421 (     286.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3335970 (   29479.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     510832 (    4514.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      113.163 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.702                0.000
  1                9.626                0.000
  2                0.301                0.000
  3               21.630                0.000
  4                2.306                0.000
  5               22.211                0.000
  6                0.011                0.000
  7               26.657                0.000
  8                0.007                0.000
  9                3.393                0.000
 10                2.227                0.000
 11                0.257                0.000
 12                1.375                0.000
 13                0.345                0.000
 14                0.006                0.000
 15                1.380                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13756770       7112          0          0         62         62       1805       1805          0       3378          0      31438
  1   16571054       4544          0          0        118        118       2154       2154          0          0          0      40517
  2   12913225    1100195          0          0         22         22       1734       1734          0    1096683          0      15005
  3   22509949       6366          0          0        388        388       2795       2795          0          0          0      25941
  4   11433492     382287          0          0         38         38       1426       1426          0     379359          0      11107
  5   23747115       6330          0          0        227        227       2938       2938          0          0          0      25861
  6    5606649     311005          0          0          2          2        708        708          0     309585          0      13358
  7   37077077       9680          0          0        293        293       4547       4547          0          0          0     194815
  8    5480756       1296          0          0          1          1        647        647          0          0          0      14388
  9   29391154    1072320          0          0        141        141       3828       3828          0    1064382          0      12206
 10   15104540       4112          0          0        210        210       1846       1846          0          0          0       7729
 11    8762807     484875          0          0         23         23       1123       1123          0     482583          0      43011
 12   10240819       2646          0          0         83         83       1240       1240          0          0          0      10084
 13   13149998       3348          0          0         39         39       1635       1635          0          0          0      22309
 14    4835687       1232          0          0          1          1        615        615          0          0          0       8794
 15   27934670       6890          0          0         65         65       3380       3380          0          0          0      34269
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   36.514s (  876.384 blocks/s,  54.774 Mb/s)
Attachment 8: Screenshot_from_2024-06-12_14-48-17.png
Screenshot_from_2024-06-12_14-48-17.png
Attachment 9: Screenshot_from_2024-06-12_14-48-10.png
Screenshot_from_2024-06-12_14-48-10.png
Attachment 10: Screenshot_from_2024-06-12_14-48-34.png
Screenshot_from_2024-06-12_14-48-34.png
Attachment 11: Screenshot_from_2024-06-12_14-48-44.png
Screenshot_from_2024-06-12_14-48-44.png
Attachment 12: Screenshot_from_2024-06-12_14-50-11.png
Screenshot_from_2024-06-12_14-50-11.png
Attachment 13: Screenshot_from_2024-06-12_14-49-42.png
Screenshot_from_2024-06-12_14-49-42.png
Attachment 14: Screenshot_from_2024-06-12_14-49-38.png
Screenshot_from_2024-06-12_14-49-38.png
Attachment 15: Screenshot_from_2024-06-12_14-49-03.png
Screenshot_from_2024-06-12_14-49-03.png
Attachment 16: Screenshot_from_2024-06-12_14-50-44.png
Screenshot_from_2024-06-12_14-50-44.png
Attachment 17: Screenshot_from_2024-06-12_14-52-04.png
Screenshot_from_2024-06-12_14-52-04.png
Attachment 18: Screenshot_from_2024-06-12_14-53-15.png
Screenshot_from_2024-06-12_14-53-15.png
Attachment 19: Screenshot_from_2024-06-12_14-52-48.png
Screenshot_from_2024-06-12_14-52-48.png
Attachment 20: Screenshot_from_2024-06-12_14-54-44.png
Screenshot_from_2024-06-12_14-54-44.png
Attachment 21: Screenshot_from_2024-06-12_14-54-19.png
Screenshot_from_2024-06-12_14-54-19.png
Attachment 22: Screenshot_from_2024-06-12_14-55-06.png
Screenshot_from_2024-06-12_14-55-06.png
Attachment 23: Screenshot_from_2024-06-12_14-54-57.png
Screenshot_from_2024-06-12_14-54-57.png
Attachment 24: R7_16
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257426271 ( 1876729.6 Hz)
        Other data format:    4493729 (   32760.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        958 (       7.0 Hz)
                               RESUME:        958 (       7.0 Hz)
                              SYNC100:      32511 (     237.0 Hz)
                              WR48-63:      32511 (     237.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4426791 (   32272.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     640590 (    4670.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      137.167 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.216                0.000
  1                0.956                0.000
  2                0.109                0.000
  3                1.065                0.000
  4                0.003                0.000
  5                1.328                0.000
  6                0.000                0.000
  7               10.048                0.000
  8                0.006                0.000
  9                2.496                0.000
 10                1.879                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.358                0.000
 14                0.002                0.000
 15                1.716                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13230081       7571          0          0         32         32       1703       1703          0       4101          0      17508
  1   13090516       3490          0          0         40         40       1705       1705          0          0          0      37780
  2   13947474    1365581          0          0         17         17       1952       1952          0    1361643          0      23114
  3   19918409       5228          0          0         54         54       2560       2560          0          0          0      29816
  4    5368632     580346          0          0          2          2        689        689          0     578964          0       9807
  5   18843779       4956          0          0        116        116       2362       2362          0          0          0      35331
  6    5796835     443912          0          0          1          1        735        735          0     442440          0      22936
  7   45421367      11632          0          0        240        240       5576       5576          0          0          0     316545
  8    6655009       1606          0          0          2          2        801        801          0          0          0      26785
  9   36628182    1346978          0          0        117        117       4723       4723          0    1337298          0      25590
 10   18208001       4832          0          0        188        188       2228       2228          0          0          0      15146
 11    4458699     703685          0          0          0          0        670        670          0     702345          0      24257
 12    4535451       1002          0          0          0          0        501        501          0          0          0       5991
 13   13425648       3478          0          0         54         54       1685       1685          0          0          0      22832
 14    5214701       1310          0          0          1          1        654        654          0          0          0      16212
 15   32683487       8122          0          0         94         94       3967       3967          0          0          0      10940
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   42.707s (  749.291 blocks/s,  46.831 Mb/s)
  654   Tue Jun 11 23:13:44 2024 TD00:00-08:00 Wednesday 11 June
00.08 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 3

      ADC data items stats OK - attachment 2
   
      per FEE64 Rate spectra - attachment 7

      per p+n FEE64 1.8.L spectra - attachments 6
       aida09 pulser peak width 63 ch FWHM 

      Merger, TapeServer etc - attachments 4-5

      analysis data file S181/R6_274 - attachment 8
       max FEE64 deadtime 19% aida08
       HEC data rate 3.8kHz, LEC data rate 2.258M

      per FEE64 1.8.W spectra - 20us FSR - attachments 9-10

03.12 Merger, TapeServer etc - attachments 11-12
       before & after Merger restart

      per FEE64 Rate spectra - attachment 13

      ADC data items stats OK - attachment 14
       aida02 zero rate

      FEE64 temperatures OK - attachment 15

      DSSSD bias & leakage current OK - attachment 16

      analysis data file S181/R6_372 - attachment 17
       max FEE64 deadtime 22% aida08
       HEC data rate 4.4kHz, LEC data rate 2.295M

03.32 all histograms zero'd

03.57 per p+n FEE64 1.8.L spectra - attachment 18
       25 mins = 1500 @ 2Hz = 3000 cf. peak area c. 3000 => deadtime low
Attachment 1: Screenshot_from_2024-06-12_00-08-05.png
Screenshot_from_2024-06-12_00-08-05.png
Attachment 2: Screenshot_from_2024-06-12_00-11-04.png
Screenshot_from_2024-06-12_00-11-04.png
Attachment 3: Screenshot_from_2024-06-12_00-08-40.png
Screenshot_from_2024-06-12_00-08-40.png
Attachment 4: Screenshot_from_2024-06-12_00-13-13.png
Screenshot_from_2024-06-12_00-13-13.png
Attachment 5: Screenshot_from_2024-06-12_00-12-56.png
Screenshot_from_2024-06-12_00-12-56.png
Attachment 6: Screenshot_from_2024-06-12_00-12-08.png
Screenshot_from_2024-06-12_00-12-08.png
Attachment 7: Screenshot_from_2024-06-12_00-15-36.png
Screenshot_from_2024-06-12_00-15-36.png
Attachment 8: R6_274
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258999041 ( 2257638.6 Hz)
        Other data format:    2920959 (   25461.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1511 (      13.2 Hz)
                               RESUME:       1510 (      13.2 Hz)
                              SYNC100:      32427 (     282.7 Hz)
                              WR48-63:      32427 (     282.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2853084 (   24869.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     439283 (    3829.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      114.721 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.601                0.000
  1                7.539                0.000
  2                0.326                0.000
  3               16.402                0.000
  4                0.635                0.000
  5               17.425                0.000
  6                0.097                0.000
  7               21.859                0.000
  8                0.128                0.000
  9                3.673                0.000
 10                3.675                0.000
 11                0.154                0.000
 12                0.891                0.000
 13                0.524                0.000
 14                0.022                0.000
 15                2.309                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   15369403       7472          0          0         46         46       1974       1974          0       3432          0      22292
  1   15769502       4294          0          0        112        112       2035       2035          0          0          0      29638
  2   14433962    1024458          0          0         14         14       1879       1879          0    1020672          0      10278
  3   20761922       5804          0          0        295        295       2607       2607          0          0          0      20061
  4    9340460     275709          0          0         19         19       1175       1175          0     273321          0       7943
  5   21823754       5845          0          0        181        180       2742       2742          0          0          0      18704
  6    5422542     230099          0          0          5          5        701        701          0     228687          0       9316
  7   37349907       9452          0          0        244        244       4482       4482          0          0          0     214200
  8    5081034       1202          0          0          1          1        600        600          0          0          0      10181
  9   31593425     999213          0          0        129        129       4047       4047          0     990861          0       8738
 10   17180710       4686          0          0        246        246       2097       2097          0          0          0       5196
 11    7163253     337989          0          0         11         11        928        928          0     336111          0      29914
 12    8343534       2202          0          0         50         50       1051       1051          0          0          0       7185
 13   14807749       3744          0          0         49         49       1823       1823          0          0          0      15937
 14    5467474       1324          0          0          3          3        659        659          0          0          0       5944
 15   29090410       7466          0          0        106        106       3627       3627          0          0          0      23756
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   36.896s (  867.303 blocks/s,  54.206 Mb/s)
Attachment 9: Screenshot_from_2024-06-12_00-24-11.png
Screenshot_from_2024-06-12_00-24-11.png
Attachment 10: Screenshot_from_2024-06-12_00-23-16.png
Screenshot_from_2024-06-12_00-23-16.png
Attachment 11: Screenshot_from_2024-06-12_03-11-52.png
Screenshot_from_2024-06-12_03-11-52.png
Attachment 12: Screenshot_from_2024-06-12_03-05-47.png
Screenshot_from_2024-06-12_03-05-47.png
Attachment 13: Screenshot_from_2024-06-12_03-04-36.png
Screenshot_from_2024-06-12_03-04-36.png
Attachment 14: Screenshot_from_2024-06-12_03-04-13.png
Screenshot_from_2024-06-12_03-04-13.png
Attachment 15: Screenshot_from_2024-06-12_03-02-04.png
Screenshot_from_2024-06-12_03-02-04.png
Attachment 16: Screenshot_from_2024-06-12_03-01-26.png
Screenshot_from_2024-06-12_03-01-26.png
Attachment 17: R6_372
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  260609951 ( 2295050.9 Hz)
        Other data format:    1310049 (   11536.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1765 (      15.5 Hz)
                               RESUME:       1765 (      15.5 Hz)
                              SYNC100:      32423 (     285.5 Hz)
                              WR48-63:      32423 (     285.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    1241673 (   10934.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     498232 (    4387.7 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      113.553 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.716                0.000
  1                0.000                0.000
  2                0.675                0.000
  3               20.755                0.000
  4                8.029                0.000
  5               21.275                0.000
  6                0.025                0.000
  7               25.466                0.000
  8                0.004                0.000
  9                3.222                0.000
 10                3.079                0.000
 11                0.541                0.000
 12                3.417                0.000
 13                0.637                0.000
 14                0.101                0.000
 15                2.523                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   16545027       7593          0          0         61         61       2039       2039          0       3393          0      34807
  1          0          0          0          0          0          0          0          0          0          0          0          0
  2   16018011       4126          0          0         31         31       2032       2032          0          0          0      16400
  3   22563914       6244          0          0        367        367       2755       2755          0          0          0      27855
  4   14584632     380495          0          0        101        101       1904       1904          0     376485          0      10790
  5   23574124       6600          0          0        239        239       3061       3061          0          0          0      28773
  6    6172794     333349          0          0          6          6        797        797          0     331743          0      14869
  7   36993806       9704          0          0        287        287       4565       4565          0          0          0     198994
  8    6095601       1620          0          0          1          1        809        809          0          0          0      16000
  9   30899077       7806          0          0        122        122       3781       3781          0          0          0      13820
 10   16520099       4484          0          0        262        262       1980       1980          0          0          0       8141
 11   10197807     532664          0          0         39         39       1267       1267          0     530052          0      46994
 12   11352337       3042          0          0        119        119       1402       1402          0          0          0      10315
 13   14645959       3712          0          0         38         38       1818       1818          0          0          0      24695
 14    5727639       1460          0          0          7          7        723        723          0          0          0       9258
 15   28719124       7150          0          0         85         85       3490       3490          0          0          0      36521
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   37.054s (  863.611 blocks/s,  53.976 Mb/s)
Attachment 18: Screenshot_from_2024-06-12_03-57-50.png
Screenshot_from_2024-06-12_03-57-50.png
  653   Tue Jun 11 20:20:36 2024 TDADC data rates from 09.00 11.6.24
Per NH

"From ELOG (assuming times accurateish)

10:00 Source in (AIDA removed from DESPEC DAQ)
11:30: Source out (?)
11:45: Background Runs
13:00: bPlast thresholds changed
15:45: Beam Returned"
-
                  ADC data item rate
EOF time  file    LEC        HEC
09.02    R4_538   0.906M     1.7k
10.02      _551   0.927M     1.7k
11.00      _564   0.875M     1.7k
11.19      _568   0.872M     1.7k
12.18    R6_7     1.121M     1.9k
13.03     6_19    1.134M     1.9k
14.02     6_35    1.197M     2.0k
15.00     6_52    1.232M     2.0k
15.10     6_54    1.225M     2.0k
15.36     6_56    1.467M     2.0k
16.00     6_65    1.630M     3.0k
17.01     6_89    1.778M     3.7k
21.43     6_207   1.759M     2.7k
12.6.24
00.09     6_274   2.258M     3.8k
03.12     6_372   2.295M     4.4k
07.13     6_506   2.284M     4.5k
11.12     6_611   1.853M     3.6k
14.55     7_16    1.877M     4.7k
13.6.24
07.01     7_457   1.788M     4.3k
12.34     7_610   2.000M     4.7k
14.00     7_649   1.756M     2.5k
14.12     7_654   1.817M     2.5k
15.33     7_693   1.907M     3.5k
14.6.24
07.23     8_35    1.677M     3.7k
10.54     8_122   1.880M     4.7k
14.38     8_213   1.935M     3.6k
15.6.24
01.25     9_27    0.951k     1.6k  (beam off from c. 18.15 14.6.24)
08.56     9_152   1.215M     2.1k  gamma source in use?
15.27     9_275   1.520M     2.3k  370kBq 152Eu source in use
16.6.24
15.06     9_747   1.667M     2.3k

15.06 analysis data file R9_747 - attachment 18
       max dead time 4.3% aida08, all other FEE64s < 2%
       ADC data rate 1.667M, HEC data rate 2.3k 
  652   Tue Jun 11 18:45:37 2024 PPMid-shift checks, 19:45

All looks good.

Sceenshots attached.

Attachment 1: Screenshot_from_2024-06-11_19-46-41.png
Screenshot_from_2024-06-11_19-46-41.png
Attachment 2: Screenshot_from_2024-06-11_19-47-09.png
Screenshot_from_2024-06-11_19-47-09.png
Attachment 3: Screenshot_from_2024-06-11_19-47-38.png
Screenshot_from_2024-06-11_19-47-38.png
Attachment 4: Screenshot_from_2024-06-11_19-48-12.png
Screenshot_from_2024-06-11_19-48-12.png
Attachment 5: Screenshot_from_2024-06-11_19-48-58.png
Screenshot_from_2024-06-11_19-48-58.png
Attachment 6: Screenshot_from_2024-06-11_19-49-22.png
Screenshot_from_2024-06-11_19-49-22.png
Attachment 7: Screenshot_from_2024-06-11_19-49-43.png
Screenshot_from_2024-06-11_19-49-43.png
Attachment 8: Screenshot_from_2024-06-11_19-50-19.png
Screenshot_from_2024-06-11_19-50-19.png
  651   Tue Jun 11 15:01:07 2024 PP TD16:00-00:00 shift Tuesday 11/06/24
All seems OK

Screenshots attached.

17.33 per FEE64 1.8.W spectra - attachments 8-9
      per p+n FEE64 1.8.L spectra - attachment 10
       aida09 pulser peak width 66 ch FWHM
       cf 
       c. 11:00 today 57 ch FWHM            https://elog.ph.ed.ac.uk/DESPEC/650
       cf
       c. 00:10 today 53 ch FWHM            https://elog.ph.ed.ac.uk/DESPEC/649

17.48 ADC data item stats - attachment 11
      All histograms zero'd

17.51 Analysis data file S181/R6_96 - attachment 12
      max deadtime 5% (aida08)
      HEC Data items 3.7kHz, LEC data items 1.8MHz
      cf.
      c. 12.29 today 1.1MHz    https://elog.ph.ed.ac.uk/DESPEC/650
      c. 00.09 today 1.1MHz    https://elog.ph.ed.ac.uk/DESPEC/649
Attachment 1: Screenshot_from_2024-06-11_16-06-43.png
Screenshot_from_2024-06-11_16-06-43.png
Attachment 2: Screenshot_from_2024-06-11_16-07-53.png
Screenshot_from_2024-06-11_16-07-53.png
Attachment 3: Screenshot_from_2024-06-11_16-09-03.png
Screenshot_from_2024-06-11_16-09-03.png
Attachment 4: Screenshot_from_2024-06-11_16-12-05.png
Screenshot_from_2024-06-11_16-12-05.png
Attachment 5: Screenshot_from_2024-06-11_16-12-46.png
Screenshot_from_2024-06-11_16-12-46.png
Attachment 6: Screenshot_from_2024-06-11_16-13-40.png
Screenshot_from_2024-06-11_16-13-40.png
Attachment 7: Screenshot_from_2024-06-11_16-14-11.png
Screenshot_from_2024-06-11_16-14-11.png
Attachment 8: Screenshot_from_2024-06-11_16-17-21.png
Screenshot_from_2024-06-11_16-17-21.png
Attachment 9: Screenshot_from_2024-06-11_17-34-26.png
Screenshot_from_2024-06-11_17-34-26.png
Attachment 10: Screenshot_from_2024-06-11_17-33-33.png
Screenshot_from_2024-06-11_17-33-33.png
Attachment 11: Screenshot_from_2024-06-11_17-39-32.png
Screenshot_from_2024-06-11_17-39-32.png
Attachment 12: Screenshot_from_2024-06-11_17-48-43.png
Screenshot_from_2024-06-11_17-48-43.png
Attachment 13: R6_98
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258260025 ( 1785474.1 Hz)
        Other data format:    3659975 (   25303.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        939 (       6.5 Hz)
                               RESUME:        938 (       6.5 Hz)
                              SYNC100:      32538 (     225.0 Hz)
                              WR48-63:      32538 (     225.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3593022 (   24840.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     539819 (    3732.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      144.645 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.468                0.000
  1                0.015                0.000
  2                0.040                0.000
  3                0.872                0.000
  4                0.007                0.000
  5                0.312                0.000
  6                0.000                0.000
  7                7.299                0.000
  8                0.001                0.000
  9                3.731                0.000
 10                2.112                0.000
 11                0.008                0.000
 12                0.000                0.000
 13                0.367                0.000
 14                0.001                0.000
 15                1.636                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   15794317       8531          0          0         49         49       2058       2058          0       4317          0      10696
  1    7121332       1752          0          0          3          3        873        873          0          0          0      24880
  2   15560192    1259782          0          0          6          6       2078       2078          0    1255614          0      14645
  3   17271150       4664          0          0        134        134       2198       2198          0          0          0      19004
  4    6664272     367237          0          0          2          2        840        840          0     365553          0       5226
  5   16529211       4220          0          0         48         48       2062       2062          0          0          0      23130
  6    5459932     300460          0          0          0          0        680        680          0     299100          0      14450
  7   45345978      11675          0          0        200        199       5638       5638          0          0          0     336191
  8    6229388       1534          0          0          1          1        766        766          0          0          0      16825
  9   38606423    1232596          0          0        155        155       5043       5043          0    1222200          0      15969
 10   19820101       5140          0          0        195        195       2375       2375          0          0          0       9560
 11    4555885     447544          0          0          2          2        651        651          0     446238          0      14419
 12    4015114        976          0          0          0          0        488        488          0          0          0       3706
 13   15417116       3872          0          0         46         46       1890       1890          0          0          0      14088
 14    5845488       1420          0          0          1          1        709        709          0          0          0      10117
 15   34024126       8572          0          0         97         97       4189       4189          0          0          0       6913
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   36.520s (  876.243 blocks/s,  54.765 Mb/s)
  650   Tue Jun 11 10:03:48 2024 TD, NH08:00-16:00 Tuesday 10 June
10.57 DSSSD bias & leakage current OK - attachment 1

      FEE64 temps OK - attachment 2

      ADC, MBS correlation scaler data item stats - attachments 3-4
       ADC 9x < 20k, max 243k aida08

      ASIC settings aida01 & aida02 - attachments 5-6

      ALL system wide checks OK *except* attachments 7-8

      WR timestamps OK - attachment 9

      BNC PB-5 settings - attachment 10

      per FEE64 Rate spectra - attachment 11

      per p+n FEE64 1.8.L spectra - attachment 12
       aida09 pulser peak width 57 ch FWHM

      per FEE64 1.8.H spectra - attachments 13-14

      per FEE64 1.8.W spectra - 20us FSR - attachments 15-16
       no p+n FEE64 wavefroms - reason unknown

      Merger, TapeServer etc - attachments 17-18

11.25 Lost control of aida-gsi window system 
      All command terminals lost, could not start new command terminals

      aida-gsi restart

      FEE64 power cycle
      DSSSD bias cycle

      Note file manager, calculator apps now working as expected

12.11 DAQ starts 
      data file S181/R6

      ASIC settings 2024Apr19-15.22.49

      BNC PB-5 Pulser
      Amplitude 10.0V
      Attenuation x10
      Fall time 1ms
      Frequency 2Hz
      Polarity + (connected to test + signal daisy chain to all p+n FEE64s)

      Sync ASIC clocks OK
      All system wide checks OK *except* aida02 FPGA/WR decoder - attachments 19-20

      per FEE64 1.8.W spectra - 20us FSR - attachments 21-22

12.29 analysis data file S181/R6_9 - attachment 23
      max dead time c. 2% (aida08)

15:34 For some reason the AIDA MBS wasn't connecting to the time sorter (or a check stream) [NH]
      Try to reset the AIDA MBS 
      Due to confusion the AIDA Merger was rebooted too, while the DAQ was running, this broke all the FEEs -> Powecycled
      Synced ASIC clocks, fixed all waveforms
      Masked all discriminators
      All looks ok -> Sending back to MBS and back in DESPEC DAQ
Attachment 1: Screenshot_from_2024-06-11_10-56-57.png
Screenshot_from_2024-06-11_10-56-57.png
Attachment 2: Screenshot_from_2024-06-11_10-57-13.png
Screenshot_from_2024-06-11_10-57-13.png
Attachment 3: Screenshot_from_2024-06-11_10-57-29.png
Screenshot_from_2024-06-11_10-57-29.png
Attachment 4: Screenshot_from_2024-06-11_10-57-44.png
Screenshot_from_2024-06-11_10-57-44.png
Attachment 5: Screenshot_from_2024-06-11_11-02-06.png
Screenshot_from_2024-06-11_11-02-06.png
Attachment 6: Screenshot_from_2024-06-11_11-02-12.png
Screenshot_from_2024-06-11_11-02-12.png
Attachment 7: Screenshot_from_2024-06-11_11-02-29.png
Screenshot_from_2024-06-11_11-02-29.png
Attachment 8: Screenshot_from_2024-06-11_11-02-33.png
Screenshot_from_2024-06-11_11-02-33.png
Attachment 9: Screenshot_from_2024-06-11_11-02-57.png
Screenshot_from_2024-06-11_11-02-57.png
Attachment 10: Screenshot_from_2024-06-11_11-03-07.png
Screenshot_from_2024-06-11_11-03-07.png
Attachment 11: Screenshot_from_2024-06-11_10-57-57.png
Screenshot_from_2024-06-11_10-57-57.png
Attachment 12: Screenshot_from_2024-06-11_10-59-40.png
Screenshot_from_2024-06-11_10-59-40.png
Attachment 13: Screenshot_from_2024-06-11_11-00-40.png
Screenshot_from_2024-06-11_11-00-40.png
Attachment 14: Screenshot_from_2024-06-11_11-01-11.png
Screenshot_from_2024-06-11_11-01-11.png
Attachment 15: Screenshot_from_2024-06-11_11-01-27.png
Screenshot_from_2024-06-11_11-01-27.png
Attachment 16: Screenshot_from_2024-06-11_11-01-52.png
Screenshot_from_2024-06-11_11-01-52.png
Attachment 17: Screenshot_from_2024-06-11_11-03-24.png
Screenshot_from_2024-06-11_11-03-24.png
Attachment 18: Screenshot_from_2024-06-11_11-03-33.png
Screenshot_from_2024-06-11_11-03-33.png
Attachment 19: Screenshot_from_2024-06-11_12-18-20.png
Screenshot_from_2024-06-11_12-18-20.png
Attachment 20: Screenshot_from_2024-06-11_12-18-15.png
Screenshot_from_2024-06-11_12-18-15.png
Attachment 21: Screenshot_from_2024-06-11_12-23-35.png
Screenshot_from_2024-06-11_12-23-35.png
Attachment 22: Screenshot_from_2024-06-11_12-22-13.png
Screenshot_from_2024-06-11_12-22-13.png
Attachment 23: R6_9
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258839908 ( 1138557.8 Hz)
        Other data format:    3080092 (   13548.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        641 (       2.8 Hz)
                               RESUME:        641 (       2.8 Hz)
                              SYNC100:      32847 (     144.5 Hz)
                              WR48-63:      32847 (     144.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3013116 (   13253.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     428993 (    1887.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      227.340 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.006                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.570                0.000
  4                0.000                0.000
  5                0.059                0.000
  6                0.000                0.000
  7                4.510                0.000
  8                0.000                0.000
  9                2.812                0.000
 10                0.098                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.022                0.000
 14                0.000                0.000
 15                1.041                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    8222140       8845          0          0          2          2       1011       1011          0       6819          0          0
  1    3606751        920          0          0          0          0        460        460          0          0          0          0
  2   10679748    1508816          0          0          0          0       1558       1558          0    1505700          0          0
  3   19436638       5222          0          0        115        115       2496       2496          0          0          0          0
  4    3900385        924          0          0          0          0        462        462          0          0          0          0
  5   15462846       3992          0          0         26         26       1970       1970          0          0          0          0
  6    5052319      14939          0          0          0          0        649        649          0      13641          0          0
  7   59921630      15444          0          0        211        211       7511       7511          0          0          0     428993
  8    6654004       1590          0          0          0          0        795        795          0          0          0          0
  9   48245181    1499946          0          0        182        182       6313       6313          0    1486956          0          0
 10   16829911       4196          0          0         17         17       2081       2081          0          0          0          0
 11    2314011        558          0          0          0          0        279        279          0          0          0          0
 12    3820239        906          0          0          0          0        453        453          0          0          0          0
 13   11619760       2934          0          0          7          7       1460       1460          0          0          0          0
 14    3764196        930          0          0          0          0        465        465          0          0          0          0
 15   39310149       9930          0          0         81         81       4884       4884          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.500s ( 1084.746 blocks/s,  67.797 Mb/s)
  649   Mon Jun 10 23:36:14 2024 TD0000-08:00 Tuesday 11 June
00.09 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data items stats OK - attachment 3
   
      per FEE64 Rate spectra - attachment 4

      per p+n FEE64 1.8.L spectra - attachments 5-6
       aida09 pulser peak width 53 ch FWHM 

      per FEE64 1.8.H spectra - attachments 7-8

      per n+n FEE64 1.8.W spectra - 20us FSR - attachment 9
       p+n 1.8.W not running currently

      Merger, TapeServer etc - attachments 10-11

      analysis data file S181/R4_427 - attachment 12
       max FEE64 deadtime 1.7%
       HEC data rate 3.1kHz

00.28 all histograms zero'd


02.39 DAQ continues data file S181/R4_460
      Beam off since 02.08

      DSSSD bias & leakage current OK - attachment 13

      FEE64 temperatures OK - attachment 14

      ADC data items stats OK - attachment 15
       9x < 20k, max 239k aida08
   
      per FEE64 Rate spectra - attachment 16


07.15 DAQ continues data file S181/R4_517
      Beam off since 02.08
      HKR report c 3-4 h until UNILAC fixed

      DSSSD bias & leakage current OK - attachment 17

      FEE64 temperatures OK - attachment 18

      ADC data items stats OK - attachment 19
       8x < 20k, max 238k aida08
   
      per FEE64 Rate spectra - attachment 20
Attachment 1: Screenshot_from_2024-06-11_00-09-43.png
Screenshot_from_2024-06-11_00-09-43.png
Attachment 2: Screenshot_from_2024-06-11_00-10-36.png
Screenshot_from_2024-06-11_00-10-36.png
Attachment 3: Screenshot_from_2024-06-11_00-11-13.png
Screenshot_from_2024-06-11_00-11-13.png
Attachment 4: Screenshot_from_2024-06-11_00-11-45.png
Screenshot_from_2024-06-11_00-11-45.png
Attachment 5: Screenshot_from_2024-06-11_00-13-25.png
Screenshot_from_2024-06-11_00-13-25.png
Attachment 6: Screenshot_from_2024-06-11_00-16-14.png
Screenshot_from_2024-06-11_00-16-14.png
Attachment 7: Screenshot_from_2024-06-11_00-24-51.png
Screenshot_from_2024-06-11_00-24-51.png
Attachment 8: Screenshot_from_2024-06-11_00-25-44.png
Screenshot_from_2024-06-11_00-25-44.png
Attachment 9: Screenshot_from_2024-06-11_00-27-29.png
Screenshot_from_2024-06-11_00-27-29.png
Attachment 10: Screenshot_from_2024-06-11_00-29-21.png
Screenshot_from_2024-06-11_00-29-21.png
Attachment 11: Screenshot_from_2024-06-11_00-29-41.png
Screenshot_from_2024-06-11_00-29-41.png
Attachment 12: R4_427
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  256031206 ( 1090943.7 Hz)
        Other data format:    5888794 (   25092.0 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        689 (       2.9 Hz)
                               RESUME:        689 (       2.9 Hz)
                              SYNC100:      32874 (     140.1 Hz)
                              WR48-63:      32874 (     140.1 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    5821668 (   24806.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     725584 (    3091.7 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      234.688 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.082                0.000
  1                0.081                0.000
  2                0.099                0.000
  3                1.580                0.000
  4                0.860                0.000
  5                0.325                0.000
  6                0.000                0.000
  7                4.102                0.000
  8                0.020                0.000
  9                2.330                0.000
 10                0.170                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.981                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3851535       8075          0          0          3          3        517        517          0       7035          0      14311
  1   10547580       2760          0          0          9          9       1371       1371          0          0          0      35298
  2    7097044    2015426          0          0          1          1       1095       1095          0    2013234          0      19431
  3   24895003       6544          0          0        192        192       3080       3080          0          0          0      27887
  4    3219755     585586          0          0          4          4        493        493          0     584592          0      17915
  5   19996310       5104          0          0         46         46       2506       2506          0          0          0      32222
  6    5825374     446331          0          0          0          0        741        741          0     444849          0      18892
  7   61314555      15906          0          0        191        191       7762       7762          0          0          0     429462
  8    8374860       2050          0          0          1          1       1024       1024          0          0          0      21845
  9   43291179    2005473          0          0        168        168       5805       5805          0    1993527          0      21309
 10   13902520       3510          0          0          6          6       1749       1749          0          0          0      12563
 11    2813051     779317          0          0          0          0        443        443          0     778431          0      21093
 12    5093380       1266          0          0          0          0        633        633          0          0          0      11468
 13    7142579       1774          0          0          0          0        887        887          0          0          0      18724
 14    3712134        902          0          0          0          0        451        451          0          0          0      12892
 15   34954347       8770          0          0         68         68       4317       4317          0          0          0      10272
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   34.627s (  924.132 blocks/s,  57.758 Mb/s)
Attachment 13: Screenshot_from_2024-06-11_02-36-59.png
Screenshot_from_2024-06-11_02-36-59.png
Attachment 14: Screenshot_from_2024-06-11_02-41-01.png
Screenshot_from_2024-06-11_02-41-01.png
Attachment 15: Screenshot_from_2024-06-11_02-41-50.png
Screenshot_from_2024-06-11_02-41-50.png
Attachment 16: Screenshot_from_2024-06-11_02-42-40.png
Screenshot_from_2024-06-11_02-42-40.png
Attachment 17: Screenshot_from_2024-06-11_07-13-28.png
Screenshot_from_2024-06-11_07-13-28.png
Attachment 18: Screenshot_from_2024-06-11_07-15-41.png
Screenshot_from_2024-06-11_07-15-41.png
Attachment 19: Screenshot_from_2024-06-11_07-16-08.png
Screenshot_from_2024-06-11_07-16-08.png
Attachment 20: Screenshot_from_2024-06-11_07-16-35.png
Screenshot_from_2024-06-11_07-16-35.png
  648   Mon Jun 10 15:07:24 2024 Marc16:00-00:00 shift Monday 10/06/24

Evening shift

5pm full checks:
DSSSD bias & leakage current  ok - attachment # 1-2
FEE64 temperatures  ok - attachment # 3
ADC data item stats - attachment # 4
per FEE64 Rate spectra - attachment # 5
Merger ok - Attachement # 6
Tape service - attachement # 7
7pm full checks:
DSSSD bias & leakage current  ok - attachment # 8-9
FEE64 temperatures  ok - attachment # 10
ADC data item stats - attachment # 11
per FEE64 Rate spectra - attachment # 12
Merger ok - Attachement # 13
Tape service - attachement # 14
ucesb - attachment # 15

9pm full checks:
DSSSD bias & leakage current ok - attachment# 16-17
FEE64 temperatures ok - attachment # 18
ADC data item stats - attachment # 19
per FEE64 Rate spectra - attachment # 20
Merger ok - Attachement # 21
Tape service - attachement # 22
ucesb - attachment # 23

9pm full checks:
DSSSD bias & leakage current ok - attachment# 24-25
FEE64 temperatures ok - attachment # 26
ADC data item stats - attachment # 27
per FEE64 Rate spectra - attachment # 28
Merger ok - Attachement # 29
Tape service - attachement # 30
ucesb - attachment # 31

 

Attachment 1: Screenshot_from_2024-06-10_16-54-55.png
Screenshot_from_2024-06-10_16-54-55.png
Attachment 2: Screenshot_from_2024-06-10_16-55-40.png
Screenshot_from_2024-06-10_16-55-40.png
Attachment 3: Screenshot_from_2024-06-10_17-01-34.png
Screenshot_from_2024-06-10_17-01-34.png
Attachment 4: Screenshot_from_2024-06-10_17-02-22.png
Screenshot_from_2024-06-10_17-02-22.png
Attachment 5: Screenshot_from_2024-06-10_17-05-47.png
Screenshot_from_2024-06-10_17-05-47.png
Attachment 6: Screenshot_from_2024-06-10_17-06-52.png
Screenshot_from_2024-06-10_17-06-52.png
Attachment 7: Screenshot_from_2024-06-10_17-13-25.png
Screenshot_from_2024-06-10_17-13-25.png
Attachment 8: Screenshot_from_2024-06-10_18-59-09.png
Screenshot_from_2024-06-10_18-59-09.png
Attachment 9: Screenshot_from_2024-06-10_18-59-42.png
Screenshot_from_2024-06-10_18-59-42.png
Attachment 10: Screenshot_from_2024-06-10_19-02-24.png
Screenshot_from_2024-06-10_19-02-24.png
Attachment 11: Screenshot_from_2024-06-10_19-01-43.png
Screenshot_from_2024-06-10_19-01-43.png
Attachment 12: Screenshot_from_2024-06-10_19-03-42.png
Screenshot_from_2024-06-10_19-03-42.png
Attachment 13: Screenshot_from_2024-06-10_19-04-24.png
Screenshot_from_2024-06-10_19-04-24.png
Attachment 14: Screenshot_from_2024-06-10_19-05-59.png
Screenshot_from_2024-06-10_19-05-59.png
Attachment 15: Screenshot_from_2024-06-10_19-07-37.png
Screenshot_from_2024-06-10_19-07-37.png
Attachment 16: Screenshot_from_2024-06-10_20-56-43.png
Screenshot_from_2024-06-10_20-56-43.png
Attachment 17: Screenshot_from_2024-06-10_20-57-19.png
Screenshot_from_2024-06-10_20-57-19.png
Attachment 18: Screenshot_from_2024-06-10_20-59-07.png
Screenshot_from_2024-06-10_20-59-07.png
Attachment 19: Screenshot_from_2024-06-10_20-59-34.png
Screenshot_from_2024-06-10_20-59-34.png
Attachment 20: Screenshot_from_2024-06-10_21-00-23.png
Screenshot_from_2024-06-10_21-00-23.png
Attachment 21: Screenshot_from_2024-06-10_21-02-53.png
Screenshot_from_2024-06-10_21-02-53.png
Attachment 22: Screenshot_from_2024-06-10_21-02-04.png
Screenshot_from_2024-06-10_21-02-04.png
Attachment 23: Screenshot_from_2024-06-10_21-08-56.png
Screenshot_from_2024-06-10_21-08-56.png
Attachment 24: Screenshot_from_2024-06-10_22-57-04.png
Screenshot_from_2024-06-10_22-57-04.png
Attachment 25: Screenshot_from_2024-06-10_22-57-32.png
Screenshot_from_2024-06-10_22-57-32.png
Attachment 26: Screenshot_from_2024-06-10_22-58-10.png
Screenshot_from_2024-06-10_22-58-10.png
Attachment 27: Screenshot_from_2024-06-10_22-58-48.png
Screenshot_from_2024-06-10_22-58-48.png
Attachment 28: Screenshot_from_2024-06-10_22-59-34.png
Screenshot_from_2024-06-10_22-59-34.png
Attachment 29: Screenshot_from_2024-06-10_23-00-43.png
Screenshot_from_2024-06-10_23-00-43.png
Attachment 30: Screenshot_from_2024-06-10_23-01-18.png
Screenshot_from_2024-06-10_23-01-18.png
Attachment 31: Screenshot_from_2024-06-10_23-03-00.png
Screenshot_from_2024-06-10_23-03-00.png
  647   Mon Jun 10 07:15:48 2024 Dan08.00-16.00 Monday 10th June

8am checks

temps ok - attachment 2

rates ok - attachment 8

voltage ok - attachment 5

merger ok - attachment  6

 

9am checks

temps ok - attachment 10

rates ok - attachment 9

voltage ok - attachment 12

merger ok - attachment  11

 

(Ignore  Grafana plots 13-43 inc - not refreshed)

 

9.16 beam stopped to optimise beam intensity

 

10.00 checks - still no beam

temps ok - attachment 17

rates ok - attachment 15+16

voltage ok - attachment 14

merger ok - attachment  18

 

11.00 checks - still no beam

temps ok - attachment 23

rates ok - attachment 21+22

voltage ok - attachment 20

merger ok - attachment  24

 

12pm checks

temps ok - attachment 28

rates ok - attachment 26+27

voltage ok - attachment 29

merger ok - attachment  30

 

12.45 - beam back

 

temps ok - attachment 34

rates ok - attachment 32+33

voltage ok - attachment 35

merger ok - attachment  36

 

2pm checks

temps ok - attachment 40

rates ok - attachment 38+39

voltage ok - attachment 41

merger ok - attachment  42

 

/tmp/R4_276 added - attachment 44

max deadtime 10.5% (aida08)

n+n FEE64s deadtime 

 

3pm checks

temps ok - attachment 48

rates ok - attachment 46+47

voltage ok - attachment 45+50

merger ok - attachment  49

Attachment 1: Screenshot_from_2024-06-10_08-07-10.png
Screenshot_from_2024-06-10_08-07-10.png
Attachment 2: Screenshot_from_2024-06-10_08-07-54.png
Screenshot_from_2024-06-10_08-07-54.png
Attachment 3: Screenshot_from_2024-06-10_08-11-27.png
Screenshot_from_2024-06-10_08-11-27.png
Attachment 4: Screenshot_from_2024-06-10_08-13-25.png
Screenshot_from_2024-06-10_08-13-25.png
Attachment 5: Screenshot_from_2024-06-10_08-22-19.png
Screenshot_from_2024-06-10_08-22-19.png
Attachment 6: Screenshot_from_2024-06-10_08-28-14.png
Screenshot_from_2024-06-10_08-28-14.png
Attachment 7: Screenshot_from_2024-06-10_08-33-04.png
Screenshot_from_2024-06-10_08-33-04.png
Attachment 8: Screenshot_from_2024-06-10_09-00-04.png
Screenshot_from_2024-06-10_09-00-04.png
Attachment 9: Screenshot_from_2024-06-10_09-00-35.png
Screenshot_from_2024-06-10_09-00-35.png
Attachment 10: Screenshot_from_2024-06-10_09-01-05.png
Screenshot_from_2024-06-10_09-01-05.png
Attachment 11: Screenshot_from_2024-06-10_09-03-04.png
Screenshot_from_2024-06-10_09-03-04.png
Attachment 12: Screenshot_from_2024-06-10_09-03-40.png
Screenshot_from_2024-06-10_09-03-40.png
Attachment 13: Screenshot_from_2024-06-10_09-04-27.png
Screenshot_from_2024-06-10_09-04-27.png
Attachment 14: Screenshot_from_2024-06-10_10-04-59.png
Screenshot_from_2024-06-10_10-04-59.png
Attachment 15: Screenshot_from_2024-06-10_10-05-36.png
Screenshot_from_2024-06-10_10-05-36.png
Attachment 16: Screenshot_from_2024-06-10_10-06-07.png
Screenshot_from_2024-06-10_10-06-07.png
Attachment 17: Screenshot_from_2024-06-10_10-06-40.png
Screenshot_from_2024-06-10_10-06-40.png
Attachment 18: Screenshot_from_2024-06-10_10-07-24.png
Screenshot_from_2024-06-10_10-07-24.png
Attachment 19: Screenshot_from_2024-06-10_10-09-01.png
Screenshot_from_2024-06-10_10-09-01.png
Attachment 20: Screenshot_from_2024-06-10_11-00-43.png
Screenshot_from_2024-06-10_11-00-43.png
Attachment 21: Screenshot_from_2024-06-10_11-01-36.png
Screenshot_from_2024-06-10_11-01-36.png
Attachment 22: Screenshot_from_2024-06-10_11-02-19.png
Screenshot_from_2024-06-10_11-02-19.png
Attachment 23: Screenshot_from_2024-06-10_11-03-06.png
Screenshot_from_2024-06-10_11-03-06.png
Attachment 24: Screenshot_from_2024-06-10_11-03-58.png
Screenshot_from_2024-06-10_11-03-58.png
Attachment 25: Screenshot_from_2024-06-10_11-04-27.png
Screenshot_from_2024-06-10_11-04-27.png
Attachment 26: Screenshot_from_2024-06-10_12-03-07.png
Screenshot_from_2024-06-10_12-03-07.png
Attachment 27: Screenshot_from_2024-06-10_12-03-36.png
Screenshot_from_2024-06-10_12-03-36.png
Attachment 28: Screenshot_from_2024-06-10_12-04-16.png
Screenshot_from_2024-06-10_12-04-16.png
Attachment 29: Screenshot_from_2024-06-10_12-04-48.png
Screenshot_from_2024-06-10_12-04-48.png
Attachment 30: Screenshot_from_2024-06-10_12-05-27.png
Screenshot_from_2024-06-10_12-05-27.png
Attachment 31: Screenshot_from_2024-06-10_12-06-06.png
Screenshot_from_2024-06-10_12-06-06.png
Attachment 32: Screenshot_from_2024-06-10_12-45-12.png
Screenshot_from_2024-06-10_12-45-12.png
Attachment 33: Screenshot_from_2024-06-10_12-45-39.png
Screenshot_from_2024-06-10_12-45-39.png
Attachment 34: Screenshot_from_2024-06-10_12-46-16.png
Screenshot_from_2024-06-10_12-46-16.png
Attachment 35: Screenshot_from_2024-06-10_12-46-53.png
Screenshot_from_2024-06-10_12-46-53.png
Attachment 36: Screenshot_from_2024-06-10_12-50-29.png
Screenshot_from_2024-06-10_12-50-29.png
Attachment 37: Screenshot_from_2024-06-10_12-51-03.png
Screenshot_from_2024-06-10_12-51-03.png
Attachment 38: Screenshot_from_2024-06-10_13-54-46.png
Screenshot_from_2024-06-10_13-54-46.png
Attachment 39: Screenshot_from_2024-06-10_13-55-20.png
Screenshot_from_2024-06-10_13-55-20.png
Attachment 40: Screenshot_from_2024-06-10_13-55-55.png
Screenshot_from_2024-06-10_13-55-55.png
Attachment 41: Screenshot_from_2024-06-10_13-56-15.png
Screenshot_from_2024-06-10_13-56-15.png
Attachment 42: Screenshot_from_2024-06-10_13-57-11.png
Screenshot_from_2024-06-10_13-57-11.png
Attachment 43: Screenshot_from_2024-06-10_13-57-46.png
Screenshot_from_2024-06-10_13-57-46.png
Attachment 44: R4_276
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257167172 ( 1454701.6 Hz)
        Other data format:    4752828 (   26885.0 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1210 (       6.8 Hz)
                               RESUME:       1214 (       6.9 Hz)
                              SYNC100:      32658 (     184.7 Hz)
                              WR48-63:      32658 (     184.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4685088 (   26501.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     717535 (    4058.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      176.783 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.207                0.000
  1               13.018                0.000
  2                0.307                0.000
  3               15.029                0.000
  4                0.460                0.000
  5               16.031                0.000
  6                0.053                0.000
  7               18.555                0.000
  8                0.064                0.000
  9                2.767                0.000
 10                0.156                0.000
 11                0.013                0.000
 12                0.042                0.000
 13                0.120                0.000
 14                0.011                0.000
 15                1.165                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    6985399       7079          0          0         19         19        870        870          0       5301          0      23500
  1   14902829       3959          0          0        133        134       1846       1846          0          0          0      30106
  2    9849800    1542328          0          0         15         15       1400       1400          0    1539498          0      34705
  3   24099351       6585          0          0        273        274       3019       3019          0          0          0      21224
  4    4358270     608906          0          0         22         22        570        570          0     607722          0      20659
  5   21810185       6107          0          0        208        209       2845       2845          0          0          0      26202
  6    6709059     419440          0          0          8          8        900        900          0     417624          0      34989
  7   50592433      13110          0          0        251        251       6304       6304          0          0          0     314546
  8    8465407       2084          0          0          5          5       1037       1037          0          0          0      35796
  9   38024962    1522758          0          0        170        171       4988       4988          0    1512441          0      34088
 10   15224642       3818          0          0         25         25       1884       1884          0          0          0      21218
 11    4023142     603616          0          0          2          2        555        555          0     602502          0      30177
 12    5915692       1460          0          0          7          7        723        723          0          0          0      19261
 13    9709569       2380          0          0         14         14       1176       1176          0          0          0      33030
 14    4832393       1204          0          0          3          3        599        599          0          0          0      22476
 15   31664039       7994          0          0         55         55       3942       3942          0          0          0      15558
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   33.457s (  956.451 blocks/s,  59.778 Mb/s)
Attachment 45: Screenshot_from_2024-06-10_15-02-52.png
Screenshot_from_2024-06-10_15-02-52.png
Attachment 46: Screenshot_from_2024-06-10_15-03-47.png
Screenshot_from_2024-06-10_15-03-47.png
Attachment 47: Screenshot_from_2024-06-10_15-04-15.png
Screenshot_from_2024-06-10_15-04-15.png
Attachment 48: Screenshot_from_2024-06-10_15-04-48.png
Screenshot_from_2024-06-10_15-04-48.png
Attachment 49: Screenshot_from_2024-06-10_15-05-18.png
Screenshot_from_2024-06-10_15-05-18.png
Attachment 50: Screenshot_from_2024-06-10_15-15-55.png
Screenshot_from_2024-06-10_15-15-55.png
  646   Sun Jun 9 22:49:56 2024 TD23:00-07:00 Monday 9 June
23.47 DSSSD bias & leakage current OK - attachments 1-2

      FEE64 temperatures OK - attachment 3

      ADC, PAUSE and MBS correlation scaler data item stats - attachments 4-5 & 9

      WR timestamp - attachment 7

      all system wide checks OK *except* attachments 6 & 10

      per FEE64 Rate spectra - attachment 8

      per FEE64 1.8.W spectra - 20us FSR - attachments 11-13

      Merger, TapeServer etc - attachments 14-15
       data file S181/R4, TapeServer No Storage mode

00.00 Beam ON 3s spill on, 2s spill off
      99%+ fission fragments
      ADC data item stats - attachment 16


02.03 Data storage enabled
 
      per FEE64 1.8.H spectra - attachments 17-18

02.35 analysis data file S181/R4_28 - attachment 19
      data file S181/R4
      max deadtime aida08 18%
      n+n FEE64s 12-18%, all p+n FEE64s < 2%

02.41 Noted that there is disc data written to disk - all disc data now disabled for all FEE64s

04.08 DSSSD bias & leakage current OK - attachment 20

      FEE64 temperatures OK - attachment 21

      ADC data item stats - attachment 22

      per FEE64 Rate spectra - attachment 23

04.15 aida02 no data
      restarted merger per https://elog.ph.ed.ac.uk/DESPEC/644

06.00 DSSSD bias & leakage current OK - attachment 24

      FEE64 temperatures OK - attachment 25

      ADC data item stats - attachment 26

      per FEE64 Rate spectra - attachment 27
Attachment 1: Screenshot_from_2024-06-09_23-47-24.png
Screenshot_from_2024-06-09_23-47-24.png
Attachment 2: Screenshot_from_2024-06-09_23-47-42.png
Screenshot_from_2024-06-09_23-47-42.png
Attachment 3: Screenshot_from_2024-06-09_23-48-00.png
Screenshot_from_2024-06-09_23-48-00.png
Attachment 4: Screenshot_from_2024-06-09_23-48-04.png
Screenshot_from_2024-06-09_23-48-04.png
Attachment 5: Screenshot_from_2024-06-09_23-48-16.png
Screenshot_from_2024-06-09_23-48-16.png
Attachment 6: Screenshot_from_2024-06-09_23-48-41.png
Screenshot_from_2024-06-09_23-48-41.png
Attachment 7: Screenshot_from_2024-06-09_23-49-10.png
Screenshot_from_2024-06-09_23-49-10.png
Attachment 8: Screenshot_from_2024-06-09_23-49-18.png
Screenshot_from_2024-06-09_23-49-18.png
Attachment 9: Screenshot_from_2024-06-09_23-55-16.png
Screenshot_from_2024-06-09_23-55-16.png
Attachment 10: Screenshot_from_2024-06-09_23-55-48.png
Screenshot_from_2024-06-09_23-55-48.png
Attachment 11: Screenshot_from_2024-06-09_23-56-49.png
Screenshot_from_2024-06-09_23-56-49.png
Attachment 12: Screenshot_from_2024-06-09_23-57-05.png
Screenshot_from_2024-06-09_23-57-05.png
Attachment 13: Screenshot_from_2024-06-09_23-57-28.png
Screenshot_from_2024-06-09_23-57-28.png
Attachment 14: Screenshot_from_2024-06-09_23-58-23.png
Screenshot_from_2024-06-09_23-58-23.png
Attachment 15: Screenshot_from_2024-06-09_23-58-29.png
Screenshot_from_2024-06-09_23-58-29.png
Attachment 16: Screenshot_from_2024-06-10_00-11-59.png
Screenshot_from_2024-06-10_00-11-59.png
Attachment 17: Screenshot_from_2024-06-10_01-27-52.png
Screenshot_from_2024-06-10_01-27-52.png
Attachment 18: Screenshot_from_2024-06-10_02-01-38.png
Screenshot_from_2024-06-10_02-01-38.png
Attachment 19: R4_28
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  253194077 ( 1696553.0 Hz)
        Other data format:    8725923 (   58468.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1564 (      10.5 Hz)
                               RESUME:       1562 (      10.5 Hz)
                              SYNC100:      32556 (     218.1 Hz)
                              WR48-63:      32556 (     218.1 Hz)
                           FEE64 disc:    3047502 (   20420.1 Hz)
                             MBS info:    5610183 (   37591.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     916603 (    6141.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      149.240 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.269                0.000
  1               18.572                0.000
  2                0.203                0.000
  3               23.804                0.000
  4                0.695                0.000
  5               26.433                0.000
  6                0.080                0.000
  7               27.735                0.000
  8                0.165                0.000
  9                3.128                0.000
 10                0.210                0.000
 11                0.060                0.000
 12                0.056                0.000
 13                0.214                0.000
 14                0.073                0.000
 15                1.249                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    8063714     229134          0          0         29         29       1100       1100     222403       4473          0      40546
  1   19451828     341670          0          0        189        189       2480       2480     336332          0          0      50938
  2    9906047    1695573          0          0         32         32       1474       1474     189984    1502577          0      57685
  3   25485973     434336          0          0        375        375       3209       3209     427168          0          0      33926
  4    5225989    1088546          0          0         26         25        750        750      83786    1003209          0      36956
  5   23827850     287577          0          0        303        303       2970       2970     281031          0          0      42461
  6    7632945     803643          0          0         10         10       1067       1067     163557     637932          0      57385
  7   43365063     397279          0          0        289        288       5505       5505     385692          0          0     246600
  8    9556580     143268          0          0         16         16       1175       1175     140886          0          0      58513
  9   31955982    1616616          0          0        155        155       4212       4212     145847    1462035          0      55475
 10   13350940      89761          0          0         23         23       1645       1645      86425          0          0      31430
 11    5509660    1131598          0          0          8          8        744        744     130137     999957          0      52425
 12    7108103     102578          0          0          8          8        887        887     100788          0          0      34624
 13   10337974     150173          0          0         26         26       1313       1313     147495          0          0      56686
 14    5578272     207359          0          0          7          7        687        687     205971          0          0      33046
 15   26837157       6812          0          0         68         68       3338       3338          0          0          0      27907
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   38.183s (  838.078 blocks/s,  52.380 Mb/s)
Attachment 20: Screenshot_from_2024-06-10_04-08-10.png
Screenshot_from_2024-06-10_04-08-10.png
Attachment 21: Screenshot_from_2024-06-10_04-08-44.png
Screenshot_from_2024-06-10_04-08-44.png
Attachment 22: Screenshot_from_2024-06-10_04-10-54.png
Screenshot_from_2024-06-10_04-10-54.png
Attachment 23: Screenshot_from_2024-06-10_04-11-33.png
Screenshot_from_2024-06-10_04-11-33.png
Attachment 24: Screenshot_from_2024-06-10_06-00-15.png
Screenshot_from_2024-06-10_06-00-15.png
Attachment 25: Screenshot_from_2024-06-10_06-00-52.png
Screenshot_from_2024-06-10_06-00-52.png
Attachment 26: Screenshot_from_2024-06-10_06-01-18.png
Screenshot_from_2024-06-10_06-01-18.png
Attachment 27: Screenshot_from_2024-06-10_06-01-43.png
Screenshot_from_2024-06-10_06-01-43.png
  645   Sun Jun 9 16:38:30 2024 Norah , Muneerah, JB16:0-00:00 9 June 2024

AIDA02 and AIDA06 gave zero attachment 1. After connecting with Tom to fix it, now it works.

17:00

DSSSD bias & leakage current - attachment 2

FEE64 temperatures OK - attachment 3

Statistics  attachment 4

 

17:39

Most of AIDA0 gave zero. I followed the instructions that Tom gave me to fix it, and now they work.

23:19 Flange removed. Starting to take beam.

 

 

 

 

Attachment 1: Screenshot_from_2024-06-09_17-24-13.png
Screenshot_from_2024-06-09_17-24-13.png
Attachment 2: Screenshot_from_2024-06-09_18-00-27.png
Screenshot_from_2024-06-09_18-00-27.png
Attachment 3: Screenshot_from_2024-06-09_18-01-49.png
Screenshot_from_2024-06-09_18-01-49.png
Attachment 4: Screenshot_from_2024-06-09_18-01-23.png
Screenshot_from_2024-06-09_18-01-23.png
  644   Sun Jun 9 13:32:48 2024 TD[How To] Recover DAQ when one FEE64 stops sending data - short(er) version
1) Select 'No Storage' [workspace 3, display 1, browser tab 'TapeServer']
    If already in 'No Storage' mode please ignore this step

2) STOP DAQ [workspace 2, display 1, browser tab 'Run Control']
   all FEE64s should change status from 'going' to 'stopped' *except* whichever FEE64(s) have a problem - typically their status is 'going' or 'error'

3) Restart Merger by selecting the Merger icon [workspace 3, display 2]
    terminal window showing the startup will popup

4) Setup Merger by selecting 'SETUP' [workspace 3, display 1, browser tab 'NewMerger Control']

5) Start Merger by selecting 'GO' [workspace 3, display 1, browser tab 'NewMerger Control']
     all data links will be inactive (red)

6) Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ is *actually* going - if not repeat 'GO'

7) Check data is being transferred to Merger and TapeServer

    Select 'Reload' [workspace 3, display 1, browser tab 'NewMerger Control']
     you should see a non-zero 'current merged data rate' and all links should show as green at least some of the time (requires observation over several reloads)

    Select 'Reload' [workspace 3, display 1, browser tab 'TapeServer']
     you should see 'Blocks written' and 'kb written' counters increase

8) Check AIDA data is being forwarded from the TapeServer to MBS [workspace 3, display 2, command terminal]

9) Unselect 'No Storage' [workspace 3, display 1, browser tab 'TapeServer']
    If already in 'No Storage' mode at the start of this procedure please ignore this step


   If you continue to have problems, please request expert assistance.
  643   Sat Jun 8 23:00:52 2024 TDSunday 9 June
23.58 8.6.24

      DSSSD bias & leakage current OK - attachments 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3

      per FEE64 Rate spectra - attachment 4

03.43 slow comparator 0x64

      DSSSD bias & leakage current OK - attachments 5

      FEE64 temperatures OK - attachment 6

      ADC data item stats - attachment 7

      per FEE64 1.8.H spectra - attachments 8-9

      per FEE64 Rate spectra - attachment 10


09.11 DSSSD bias & leakage current OK - attachments 11

      FEE64 temperatures OK - attachment 12

      ADC data item stats - attachment 13

      per FEE64 Rate spectra - attachment 14


15.05 online spectra
      per DSSSD HEC E_p versus E_n and x versus y
      observe flat field of c. 500MeV at per spull rate c. 5Hz - fission fragments?
Attachment 1: Screenshot_from_2024-06-08_23-58-55.png
Screenshot_from_2024-06-08_23-58-55.png
Attachment 2: Screenshot_from_2024-06-08_23-59-16.png
Screenshot_from_2024-06-08_23-59-16.png
Attachment 3: Screenshot_from_2024-06-09_00-00-02.png
Screenshot_from_2024-06-09_00-00-02.png
Attachment 4: Screenshot_from_2024-06-09_00-00-32.png
Screenshot_from_2024-06-09_00-00-32.png
Attachment 5: Screenshot_from_2024-06-09_03-43-32.png
Screenshot_from_2024-06-09_03-43-32.png
Attachment 6: Screenshot_from_2024-06-09_03-44-19.png
Screenshot_from_2024-06-09_03-44-19.png
Attachment 7: Screenshot_from_2024-06-09_03-46-03.png
Screenshot_from_2024-06-09_03-46-03.png
Attachment 8: Screenshot_from_2024-06-09_03-46-37.png
Screenshot_from_2024-06-09_03-46-37.png
Attachment 9: Screenshot_from_2024-06-09_03-47-40.png
Screenshot_from_2024-06-09_03-47-40.png
Attachment 10: Screenshot_from_2024-06-09_03-49-06.png
Screenshot_from_2024-06-09_03-49-06.png
Attachment 11: Screenshot_from_2024-06-09_11-15-26.png
Screenshot_from_2024-06-09_11-15-26.png
Attachment 12: Screenshot_from_2024-06-09_11-27-22.png
Screenshot_from_2024-06-09_11-27-22.png
Attachment 13: Screenshot_from_2024-06-09_11-27-52.png
Screenshot_from_2024-06-09_11-27-52.png
Attachment 14: Screenshot_from_2024-06-09_11-28-19.png
Screenshot_from_2024-06-09_11-28-19.png
Attachment 15: Capture_2.PNG
Capture_2.PNG
Attachment 16: Capture.PNG
Capture.PNG
  642   Sat Jun 8 13:22:36 2024 TDSaturday 8 June
14.09 aida02 WR stall
      DAQ STOP
      restart Merger, Mrger SETUP, Merger GO
      DAQ GO

      all histograms & stats zero'd

      DSSSD bias & leakage current OK - attachments 1-2

      FEE64 temperatures OK - attachment 4

      WR timestamp before/after Merger restart - attachments 3 & 5

      all system wide checks OK *except* attachments 6-7

      ADC, PAUSE and MBS correlation scaler data item stats - attachments 8-10
       ADC data items rates high - source installed?

      per FEE64 Rate spectra - attachments 11-13

      per FEE64 1.8.W spectra - 20us FSR - attachments 14-15

      per p+n FEE64 1.8.L spectra - attachment 16
       aida09 pulser peak width 60 ch FWHM

      Merger, TapeServer etc - attachments 17-19
       data file S181/R2_249 switch TapeServer to No Storage mode

      per p+n FEE64 1.8.W spectra - 20us FSR - attachment 20

20.33 DSSSD bias & leakage current OK - attachments 21

      FEE64 temperatures OK - attachment 22

      ADC data item stats - attachment 23

      per FEE64 Rate spectra - attachment 24
Attachment 1: Screenshot_from_2024-06-08_14-07-37.png
Screenshot_from_2024-06-08_14-07-37.png
Attachment 2: Screenshot_from_2024-06-08_14-07-52.png
Screenshot_from_2024-06-08_14-07-52.png
Attachment 3: Screenshot_from_2024-06-08_14-08-47.png
Screenshot_from_2024-06-08_14-08-47.png
Attachment 4: Screenshot_from_2024-06-08_14-08-21.png
Screenshot_from_2024-06-08_14-08-21.png
Attachment 5: Screenshot_from_2024-06-08_14-11-30.png
Screenshot_from_2024-06-08_14-11-30.png
Attachment 6: Screenshot_from_2024-06-08_14-12-20.png
Screenshot_from_2024-06-08_14-12-20.png
Attachment 7: Screenshot_from_2024-06-08_14-12-25.png
Screenshot_from_2024-06-08_14-12-25.png
Attachment 8: Screenshot_from_2024-06-08_14-12-53.png
Screenshot_from_2024-06-08_14-12-53.png
Attachment 9: Screenshot_from_2024-06-08_14-13-12.png
Screenshot_from_2024-06-08_14-13-12.png
Attachment 10: Screenshot_from_2024-06-08_14-13-34.png
Screenshot_from_2024-06-08_14-13-34.png
Attachment 11: Screenshot_from_2024-06-08_14-14-41.png
Screenshot_from_2024-06-08_14-14-41.png
Attachment 12: Screenshot_from_2024-06-08_14-14-48.png
Screenshot_from_2024-06-08_14-14-48.png
Attachment 13: Screenshot_from_2024-06-08_14-14-54.png
Screenshot_from_2024-06-08_14-14-54.png
Attachment 14: Screenshot_from_2024-06-08_14-16-54.png
Screenshot_from_2024-06-08_14-16-54.png
Attachment 15: Screenshot_from_2024-06-08_14-17-49.png
Screenshot_from_2024-06-08_14-17-49.png
Attachment 16: Screenshot_from_2024-06-08_14-20-04.png
Screenshot_from_2024-06-08_14-20-04.png
Attachment 17: Screenshot_from_2024-06-08_14-21-31.png
Screenshot_from_2024-06-08_14-21-31.png
Attachment 18: Screenshot_from_2024-06-08_14-22-05.png
Screenshot_from_2024-06-08_14-22-05.png
Attachment 19: Screenshot_from_2024-06-08_14-22-12.png
Screenshot_from_2024-06-08_14-22-12.png
Attachment 20: Screenshot_from_2024-06-08_14-46-36.png
Screenshot_from_2024-06-08_14-46-36.png
Attachment 21: Screenshot_from_2024-06-08_20-33-56.png
Screenshot_from_2024-06-08_20-33-56.png
Attachment 22: Screenshot_from_2024-06-08_20-36-17.png
Screenshot_from_2024-06-08_20-36-17.png
Attachment 23: Screenshot_from_2024-06-08_20-36-50.png
Screenshot_from_2024-06-08_20-36-50.png
Attachment 24: Screenshot_from_2024-06-08_20-37-34.png
Screenshot_from_2024-06-08_20-37-34.png
  641   Fri Jun 7 16:08:37 2024 TDFriday 7 June
05.01 DSSSD bias & leakage current - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3
      9x < 20k, max 228k aida08

      per FEE64 Rate spectra - attachment 4


08.18 DSSSD bias & leakage current - attachment 5

      FEE64 temperatures OK - attachment 6

      ADC data item stats - attachment 7
      9x < 20k, max 224k aida08

      per FEE64 Rate spectra - attachment 8


14.37 DSSSD bias & leakage current - attachment 9

      FEE64 temperatures OK - attachment 10

      ADC data item stats - attachment 11
      9x < 20k, max 224k aida08

      per FEE64 Rate spectra - attachment 12

      Writing to disk file S181/R1 (continuing alpha background file)

14.38 End run S181/R1 and start new run file S181/R2

17.09 08.18 DSSSD bias & leakage current - attachment 13

      FEE64 temperatures OK - attachment 14

      MBS correlation scaler data - attachment 15
       data to aida01, aida02, aida03 and aida10

      ADC data item stats - attachment 16
      9x < 20k, max 230k aida08

      per FEE64 Rate spectra - attachment 17

      Merger/TapeServer etc - attachments 18-19 
Attachment 1: Screenshot_from_2024-06-07_05-01-53.png
Screenshot_from_2024-06-07_05-01-53.png
Attachment 2: Screenshot_from_2024-06-07_05-00-13.png
Screenshot_from_2024-06-07_05-00-13.png
Attachment 3: Screenshot_from_2024-06-07_05-00-49.png
Screenshot_from_2024-06-07_05-00-49.png
Attachment 4: Screenshot_from_2024-06-07_05-01-23.png
Screenshot_from_2024-06-07_05-01-23.png
Attachment 5: Screenshot_from_2024-06-07_08-18-34.png
Screenshot_from_2024-06-07_08-18-34.png
Attachment 6: Screenshot_from_2024-06-07_08-19-54.png
Screenshot_from_2024-06-07_08-19-54.png
Attachment 7: Screenshot_from_2024-06-07_08-20-21.png
Screenshot_from_2024-06-07_08-20-21.png
Attachment 8: Screenshot_from_2024-06-07_08-19-22.png
Screenshot_from_2024-06-07_08-19-22.png
Attachment 9: Screenshot_from_2024-06-07_14-38-06.png
Screenshot_from_2024-06-07_14-38-06.png
Attachment 10: Screenshot_from_2024-06-07_14-36-36.png
Screenshot_from_2024-06-07_14-36-36.png
Attachment 11: Screenshot_from_2024-06-07_14-37-12.png
Screenshot_from_2024-06-07_14-37-12.png
Attachment 12: Screenshot_from_2024-06-07_14-37-42.png
Screenshot_from_2024-06-07_14-37-42.png
Attachment 13: Screenshot_from_2024-06-07_17-09-16.png
Screenshot_from_2024-06-07_17-09-16.png
Attachment 14: Screenshot_from_2024-06-07_17-09-46.png
Screenshot_from_2024-06-07_17-09-46.png
Attachment 15: Screenshot_from_2024-06-07_17-10-10.png
Screenshot_from_2024-06-07_17-10-10.png
Attachment 16: Screenshot_from_2024-06-07_17-10-52.png
Screenshot_from_2024-06-07_17-10-52.png
Attachment 17: Screenshot_from_2024-06-07_17-11-15.png
Screenshot_from_2024-06-07_17-11-15.png
Attachment 18: Screenshot_from_2024-06-07_17-11-40.png
Screenshot_from_2024-06-07_17-11-40.png
Attachment 19: Screenshot_from_2024-06-07_17-12-21.png
Screenshot_from_2024-06-07_17-12-21.png
  640   Thu Jun 6 11:13:29 2024 TDThursday 6 June
12.09 DSSSD bias & leakage current - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3
      8x < 20k, max 247k aida08

      per FEE64 Rate spectra - attachment 4

12.16 all histograms & stats zero'd


21.08 DSSSD bias & leakage current - attachments 5-6

      FEE64 temperatures OK - attachment 7

      ADC data item stats - attachment 8
      8x < 20k, max 230k aida08

      per FEE64 Rate spectra - attachment 9


      
Attachment 1: Screenshot_from_2024-06-06_12-09-27.png
Screenshot_from_2024-06-06_12-09-27.png
Attachment 2: Screenshot_from_2024-06-06_12-11-58.png
Screenshot_from_2024-06-06_12-11-58.png
Attachment 3: Screenshot_from_2024-06-06_12-12-38.png
Screenshot_from_2024-06-06_12-12-38.png
Attachment 4: Screenshot_from_2024-06-06_12-13-09.png
Screenshot_from_2024-06-06_12-13-09.png
Attachment 5: Screenshot_from_2024-06-06_21-08-07.png
Screenshot_from_2024-06-06_21-08-07.png
Attachment 6: Screenshot_from_2024-06-06_21-08-38.png
Screenshot_from_2024-06-06_21-08-38.png
Attachment 7: Screenshot_from_2024-06-06_21-09-15.png
Screenshot_from_2024-06-06_21-09-15.png
Attachment 8: Screenshot_from_2024-06-06_21-10-02.png
Screenshot_from_2024-06-06_21-10-02.png
Attachment 9: Screenshot_from_2024-06-06_21-10-26.png
Screenshot_from_2024-06-06_21-10-26.png
  639   Wed Jun 5 08:34:47 2024 JB. AM, MP, MYDetector bias tests

We are trying to bias each system on its own and in sequence biasing each other detector system to see the interdependence.
 

HV OK. TEMP OK. Attachment 1 &2.

9.43 bPlast unbiased, BB7 unbiased. Attachments 3-6 is the noise situation with only AIDA biased (bPlast was biased but turned off after AIDA was biased.)

10/16 FEEs < 20k noise. Max noise is 250k. Downstream AIDA appears to be seeing additional noise since S100.


11:21 Returning after an hour the noise has increased significantly in many channels. Scale changed to 10000 in histograms, it appears that the problem is from a couple hot channels.

11:41 'Power' cycled AIDA turned off. bPlast turned on, on its own, then BB7. bPlast doesn't seem to notice anything.

12:21 bPlast and BB7 on. AIDA ON. Rates and histograms given by attachment 7-8. More FEEs noisy, but same level as 11:21. There appears to be the same noisy channel in all of the FEEs (?) Some grounding not properly accounted for, or a wire touching somewhere (?)

13:55h: AIDA & BB7 on. Rates and histograms given in attachments 9-10. Same level as bplast & AIDA on. Same noisy channels in FEEs. According to Nic, these correspond to the end of the ribbon cables & is normal.

13:59 AIDA, bPlast & BB7 on before lunch. Same levels of noise as in previous combinations (attachments 11-12).

So it seems we can run with the three sub-systems biased simultaneously.

 

 

Attachment 1: Screenshot_from_2024-06-05_09-36-25.png
Screenshot_from_2024-06-05_09-36-25.png
Attachment 2: Screenshot_from_2024-06-05_09-39-42.png
Screenshot_from_2024-06-05_09-39-42.png
Attachment 3: Screenshot_from_2024-06-05_09-41-44.png
Screenshot_from_2024-06-05_09-41-44.png
Attachment 4: Screenshot_from_2024-06-05_09-41-52.png
Screenshot_from_2024-06-05_09-41-52.png
Attachment 5: Screenshot_from_2024-06-05_09-42-35.png
Screenshot_from_2024-06-05_09-42-35.png
Attachment 6: Screenshot_from_2024-06-05_09-43-43.png
Screenshot_from_2024-06-05_09-43-43.png
Attachment 7: Screenshot_from_2024-06-05_11-40-33.png
Screenshot_from_2024-06-05_11-40-33.png
Attachment 8: Screenshot_from_2024-06-05_11-40-39.png
Screenshot_from_2024-06-05_11-40-39.png
Attachment 9: Screenshot_from_2024-06-05_12-19-14.png
Screenshot_from_2024-06-05_12-19-14.png
Attachment 10: Screenshot_from_2024-06-05_12-20-42.png
Screenshot_from_2024-06-05_12-20-42.png
Attachment 11: Screenshot_from_2024-06-05_13-58-21.png
Screenshot_from_2024-06-05_13-58-21.png
Attachment 12: Screenshot_from_2024-06-05_13-55-08.png
Screenshot_from_2024-06-05_13-55-08.png
Attachment 13: Screenshot_from_2024-06-05_12-20-42.png
Screenshot_from_2024-06-05_12-20-42.png
Attachment 14: Screenshot_from_2024-06-05_12-19-14.png
Screenshot_from_2024-06-05_12-19-14.png
  638   Tue Jun 4 15:40:32 2024 NHTues 4 Jun
DEGAS Array over Snout again

Situation similar to yesterday, most p+n rates good
Attachment 1: Screenshot_from_2024-06-04_16-39-28.png
Screenshot_from_2024-06-04_16-39-28.png
Attachment 2: Screenshot_from_2024-06-04_16-39-47.png
Screenshot_from_2024-06-04_16-39-47.png
  637   Mon Jun 3 14:40:34 2024 JB, NH03 June 2024

15:40 reseated FEE64s adaptors of aida01, aida09, aida13 & aida16.

TEMP OK. HV OK. System wide checks all passed excl. aida07 ADC calibration, see attached.

Histograms and rates look same as previous, reseating aida01 seems to have worked. aida16 still unhappy.

re-reseated aida16. 16:19 - appears to have fixed the problem in the channel.

Pulser test + connected.

bPlast ground removed from frame.

To-do:

- Need clarification about links connected on AIDA n+n FEE64 adaptor boards. Currently, the situation is given by the attachment 8 & 9.

- Fix BB7 grounding, continuity between snout and frame observed.

- Pulser walkthrough for n+n, can do p+n when convienient.

 

 

18.30 Noted FEE64 ADC stats now significantly higher ( attachments 9-10 ) cf. earlier this afternoon (attachments 1-2)

DSSSD bias OFF. FEE64 power OFF.

 

 

Attachment 1: Screenshot_from_2024-06-03_15-49-35.png
Screenshot_from_2024-06-03_15-49-35.png
Attachment 2: Screenshot_from_2024-06-03_15-49-24.png
Screenshot_from_2024-06-03_15-49-24.png
Attachment 3: Screenshot_from_2024-06-03_15-45-54.png
Screenshot_from_2024-06-03_15-45-54.png
Attachment 4: Screenshot_from_2024-06-03_15-42-31.png
Screenshot_from_2024-06-03_15-42-31.png
Attachment 5: Screenshot_from_2024-06-03_15-42-00.png
Screenshot_from_2024-06-03_15-42-00.png
Attachment 6: Screenshot_from_2024-06-03_16-18-49.png
Screenshot_from_2024-06-03_16-18-49.png
Attachment 7: 20240603_172243.jpg
20240603_172243.jpg
Attachment 8: 20240603_172233.jpg
20240603_172233.jpg
Attachment 9: Screenshot_from_2024-06-03_18-34-14.png
Screenshot_from_2024-06-03_18-34-14.png
Attachment 10: Screenshot_from_2024-06-03_18-34-30.png
Screenshot_from_2024-06-03_18-34-30.png
  636   Mon Jun 3 07:35:56 2024 TDMonday 3 June
08.28 DSSSD bias & leakage current - attachments 1-2

      FEE64 temps OK - attachment 3

      ADC data item stats - attachment 4

      per FEE64 Stat spectra - attachment 5

      per FEE64 1.8.L spectra - attachment 6

      per FEE64 1.8.W spectra - 0us FSR - attachments 7-8

08.39 DAQ stops file S181/R1_36

      FEE64 power OFF
      DSSSD bias ON

11.12 DSSSD bias OFF
Attachment 1: Screenshot_from_2024-06-03_08-27-39.png
Screenshot_from_2024-06-03_08-27-39.png
Attachment 2: Screenshot_from_2024-06-03_08-28-04.png
Screenshot_from_2024-06-03_08-28-04.png
Attachment 3: Screenshot_from_2024-06-03_08-28-37.png
Screenshot_from_2024-06-03_08-28-37.png
Attachment 4: Screenshot_from_2024-06-03_08-29-04.png
Screenshot_from_2024-06-03_08-29-04.png
Attachment 5: Screenshot_from_2024-06-03_08-29-47.png
Screenshot_from_2024-06-03_08-29-47.png
Attachment 6: Screenshot_from_2024-06-03_08-30-52.png
Screenshot_from_2024-06-03_08-30-52.png
Attachment 7: Screenshot_from_2024-06-03_08-34-18.png
Screenshot_from_2024-06-03_08-34-18.png
Attachment 8: Screenshot_from_2024-06-03_08-35-27.png
Screenshot_from_2024-06-03_08-35-27.png
  635   Sun Jun 2 01:45:05 2024 TDSunday 2 June
02.41 DSSSD bias & leakage current - attachment 1

      FEE64 temps OK - attachment 2

      ADC data item stats - attachment 3

      per FEE64 Stat spectra - attachment 4


06.52 DSSSD bias & leakage current - attachment 5

      FEE64 temps OK - attachment 6

      ADC data item stats - attachment 7

      per FEE64 Stat spectra - attachment 8

12.13 DSSSD bias & leakage current - attachment 9

      FEE64 temps OK - attachment 10

      ADC data item stats - attachment 11

      per FEE64 Stat spectra - attachment 12


14.51 DSSSD bias & leakage current - attachments 13-14

      FEE64 temps OK - attachment 15

      ADC data item stats - attachment 16

      per FEE64 Stat spectra - attachment 17

21.49 DSSSD bias & leakage current - attachment 18

      FEE64 temps OK - attachment 19

      ADC data item stats - attachment 20

      per FEE64 Stat spectra - attachment 21


03.55 DSSSD bias & leakage current - attachment 22

      FEE64 temps OK - attachment 23

      ADC data item stats - attachment 24

      per FEE64 Stat spectra - attachment 25
Attachment 1: Screenshot_from_2024-06-02_02-40-53.png
Screenshot_from_2024-06-02_02-40-53.png
Attachment 2: Screenshot_from_2024-06-02_02-41-25.png
Screenshot_from_2024-06-02_02-41-25.png
Attachment 3: Screenshot_from_2024-06-02_02-41-49.png
Screenshot_from_2024-06-02_02-41-49.png
Attachment 4: Screenshot_from_2024-06-02_02-42-57.png
Screenshot_from_2024-06-02_02-42-57.png
Attachment 5: Screenshot_from_2024-06-02_06-52-56.png
Screenshot_from_2024-06-02_06-52-56.png
Attachment 6: Screenshot_from_2024-06-02_06-53-29.png
Screenshot_from_2024-06-02_06-53-29.png
Attachment 7: Screenshot_from_2024-06-02_06-53-57.png
Screenshot_from_2024-06-02_06-53-57.png
Attachment 8: Screenshot_from_2024-06-02_06-54-52.png
Screenshot_from_2024-06-02_06-54-52.png
Attachment 9: Screenshot_from_2024-06-02_12-13-13.png
Screenshot_from_2024-06-02_12-13-13.png
Attachment 10: Screenshot_from_2024-06-02_12-13-46.png
Screenshot_from_2024-06-02_12-13-46.png
Attachment 11: Screenshot_from_2024-06-02_12-14-07.png
Screenshot_from_2024-06-02_12-14-07.png
Attachment 12: Screenshot_from_2024-06-02_12-15-07.png
Screenshot_from_2024-06-02_12-15-07.png
Attachment 13: Screenshot_from_2024-06-02_14-47-23.png
Screenshot_from_2024-06-02_14-47-23.png
Attachment 14: Screenshot_from_2024-06-02_14-51-12.png
Screenshot_from_2024-06-02_14-51-12.png
Attachment 15: Screenshot_from_2024-06-02_14-51-45.png
Screenshot_from_2024-06-02_14-51-45.png
Attachment 16: Screenshot_from_2024-06-02_14-52-12.png
Screenshot_from_2024-06-02_14-52-12.png
Attachment 17: Screenshot_from_2024-06-02_14-52-46.png
Screenshot_from_2024-06-02_14-52-46.png
Attachment 18: Screenshot_from_2024-06-02_21-47-35.png
Screenshot_from_2024-06-02_21-47-35.png
Attachment 19: Screenshot_from_2024-06-02_21-48-05.png
Screenshot_from_2024-06-02_21-48-05.png
Attachment 20: Screenshot_from_2024-06-02_21-48-30.png
Screenshot_from_2024-06-02_21-48-30.png
Attachment 21: Screenshot_from_2024-06-02_21-49-08.png
Screenshot_from_2024-06-02_21-49-08.png
Attachment 22: Screenshot_from_2024-06-03_03-55-12.png
Screenshot_from_2024-06-03_03-55-12.png
Attachment 23: Screenshot_from_2024-06-03_03-55-56.png
Screenshot_from_2024-06-03_03-55-56.png
Attachment 24: Screenshot_from_2024-06-03_03-56-23.png
Screenshot_from_2024-06-03_03-56-23.png
Attachment 25: Screenshot_from_2024-06-03_03-58-23.png
Screenshot_from_2024-06-03_03-58-23.png
  634   Sat Jun 1 12:48:54 2024 TDSaturday 1 June
13.26 DSSSD bias & leakage current - attachments 1-3

      FEE64 temps OK - attachment 4
       aida02 asic sensor u/s

      All system wide checks OK *except* WR decoder status - attachment 5

      ADC data item stats - attachment 6

      per FEE64 Rate spectra - attachments 7-8

      per FEE64 1.8.W spectra - 20us FSR - attachments 9-10

      WR timestamps OK - attachment 11

13.59 Data file S181/R1
      alpha background
      BNC PB-5 disconnected?
      slow comparator 0x64

      ADC data item stats - attachment 12
      Merger, TapeServer etc - attachments 13-14

14.02 All histograms & stats zero'd



16.19 DSSSD bias & leakage current - attachment 15

      FEE64 temps OK - attachment 16

      ADC data item stats - attachment 17

      per FEE64 Stat spectra - attachment 18


19.16 DSSSD bias & leakage current - attachments 19-20

      FEE64 temps OK - attachment 21

      ADC data item stats - attachment 22

      per FEE64 Stat spectra - attachment 23

      2x Check ASIC Control


21.42 DSSSD bias & leakage current - attachment 24

      FEE64 temps OK - attachment 25

      ADC data item stats - attachment 26

      per FEE64 Stat spectra - attachment 27

00.48 DSSSD bias & leakage current - attachment 28

      FEE64 temps OK - attachment 29

      ADC data item stats - attachment 30

      per FEE64 Stat spectra - attachment 31
Attachment 1: Screenshot_from_2024-06-01_13-26-48.png
Screenshot_from_2024-06-01_13-26-48.png
Attachment 2: Screenshot_from_2024-06-01_13-27-24.png
Screenshot_from_2024-06-01_13-27-24.png
Attachment 3: Screenshot_from_2024-06-01_13-27-47.png
Screenshot_from_2024-06-01_13-27-47.png
Attachment 4: Screenshot_from_2024-06-01_13-40-22.png
Screenshot_from_2024-06-01_13-40-22.png
Attachment 5: Screenshot_from_2024-06-01_13-43-09.png
Screenshot_from_2024-06-01_13-43-09.png
Attachment 6: Screenshot_from_2024-06-01_13-44-41.png
Screenshot_from_2024-06-01_13-44-41.png
Attachment 7: Screenshot_from_2024-06-01_13-45-21.png
Screenshot_from_2024-06-01_13-45-21.png
Attachment 8: Screenshot_from_2024-06-01_13-45-49.png
Screenshot_from_2024-06-01_13-45-49.png
Attachment 9: Screenshot_from_2024-06-01_13-47-30.png
Screenshot_from_2024-06-01_13-47-30.png
Attachment 10: Screenshot_from_2024-06-01_13-48-20.png
Screenshot_from_2024-06-01_13-48-20.png
Attachment 11: Screenshot_from_2024-06-01_13-51-48.png
Screenshot_from_2024-06-01_13-51-48.png
Attachment 12: Screenshot_from_2024-06-01_13-53-14.png
Screenshot_from_2024-06-01_13-53-14.png
Attachment 13: Screenshot_from_2024-06-01_14-00-38.png
Screenshot_from_2024-06-01_14-00-38.png
Attachment 14: Screenshot_from_2024-06-01_14-01-08.png
Screenshot_from_2024-06-01_14-01-08.png
Attachment 15: Screenshot_from_2024-06-01_16-19-23.png
Screenshot_from_2024-06-01_16-19-23.png
Attachment 16: Screenshot_from_2024-06-01_16-27-28.png
Screenshot_from_2024-06-01_16-27-28.png
Attachment 17: Screenshot_from_2024-06-01_16-28-06.png
Screenshot_from_2024-06-01_16-28-06.png
Attachment 18: Screenshot_from_2024-06-01_16-29-07.png
Screenshot_from_2024-06-01_16-29-07.png
Attachment 19: Screenshot_from_2024-06-01_19-18-17.png
Screenshot_from_2024-06-01_19-18-17.png
Attachment 20: Screenshot_from_2024-06-01_19-15-57.png
Screenshot_from_2024-06-01_19-15-57.png
Attachment 21: Screenshot_from_2024-06-01_19-18-48.png
Screenshot_from_2024-06-01_19-18-48.png
Attachment 22: Screenshot_from_2024-06-01_19-19-11.png
Screenshot_from_2024-06-01_19-19-11.png
Attachment 23: Screenshot_from_2024-06-01_19-20-03.png
Screenshot_from_2024-06-01_19-20-03.png
Attachment 24: Screenshot_from_2024-06-01_21-41-48.png
Screenshot_from_2024-06-01_21-41-48.png
Attachment 25: Screenshot_from_2024-06-01_21-42-24.png
Screenshot_from_2024-06-01_21-42-24.png
Attachment 26: Screenshot_from_2024-06-01_21-42-49.png
Screenshot_from_2024-06-01_21-42-49.png
Attachment 27: Screenshot_from_2024-06-01_21-43-54.png
Screenshot_from_2024-06-01_21-43-54.png
Attachment 28: Screenshot_from_2024-06-02_00-48-44.png
Screenshot_from_2024-06-02_00-48-44.png
Attachment 29: Screenshot_from_2024-06-02_00-49-22.png
Screenshot_from_2024-06-02_00-49-22.png
Attachment 30: Screenshot_from_2024-06-02_00-49-48.png
Screenshot_from_2024-06-02_00-49-48.png
Attachment 31: Screenshot_from_2024-06-02_00-50-53.png
Screenshot_from_2024-06-02_00-50-53.png
  633   Mon May 27 17:20:49 2024 TDMonday 27 May
17.50 bPlas cabling, grounds etc connected, power OFF
       CC reports that bPlas power is actually ON

      FEE64 power ON

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ASIC settings 2024Apr26-01.29.53
       p+n FFEE64 slow comparator 0xa, n+n Ohmic FEE64 0xf

      System wide checks OK *except* aida02 WR decoder status

      ADC data item stats - attachment 3
       9/16 < 20k, max 234k (aida08)

      per FEE64 Rate spectra - attachments 4-6

      per FEE64 1.8.W spectra - 20us FSR - attachments 7-8

      WR timestamps OK - attachment 9


18.50 FEE64 power OFF
Attachment 1: Screenshot_from_2024-05-27_18-09-02.png
Screenshot_from_2024-05-27_18-09-02.png
Attachment 2: Screenshot_from_2024-05-27_18-09-34.png
Screenshot_from_2024-05-27_18-09-34.png
Attachment 3: Screenshot_from_2024-05-27_18-10-20.png
Screenshot_from_2024-05-27_18-10-20.png
Attachment 4: Screenshot_from_2024-05-27_18-12-21.png
Screenshot_from_2024-05-27_18-12-21.png
Attachment 5: Screenshot_from_2024-05-27_18-13-15.png
Screenshot_from_2024-05-27_18-13-15.png
Attachment 6: Screenshot_from_2024-05-27_18-14-11.png
Screenshot_from_2024-05-27_18-14-11.png
Attachment 7: Screenshot_from_2024-05-27_18-18-40.png
Screenshot_from_2024-05-27_18-18-40.png
Attachment 8: Screenshot_from_2024-05-27_18-19-50.png
Screenshot_from_2024-05-27_18-19-50.png
Attachment 9: Screenshot_from_2024-05-27_18-27-26.png
Screenshot_from_2024-05-27_18-27-26.png
  632   Wed May 22 20:10:19 2024 NH, CC, HMA, MV, ZC, KZAIDA Snout Remounted
AIDA and the Snout have been remounted in S4

I had a check of the AIDA connectors and all looked good, the kapton PCB connectors were sitting comfortably above the interstage and I saw no stressed/loose connectors.
Multimeter showed no continuity between snout or inter-stage and AIDA ground

We put a single layer of wide black tape inside the end-cap to improve the light seal and minimise reflections

After mounting the snout I managed to bias both DSSDs to 100 V with no issues. I had to raise the DSSD#1 (Ch0) limit to 40 uA because it rises quite high during ramp up (then settles).

It is very hot in S4 (28 C) and the roof is closed for high-radiation experiments, so I expect it to get hotter. DSSD leakage currents were similar to before (20 and 15 uA)

There is also the new Temp and Humidity monitor, which you can view on AnyDesk at 192.168.11.119 (I think), there is a firefox window open already 
Attachment 1: 20240522_153750.jpg
20240522_153750.jpg
Attachment 2: 20240522_153752.jpg
20240522_153752.jpg
Attachment 3: 20240522_153755.jpg
20240522_153755.jpg
Attachment 4: 20240522_153757.jpg
20240522_153757.jpg
Attachment 5: 20240522_153805.jpg
20240522_153805.jpg
Attachment 6: 20240522_153807.jpg
20240522_153807.jpg
Attachment 7: 20240522_153809.jpg
20240522_153809.jpg
Attachment 8: 20240522_153810.jpg
20240522_153810.jpg
Attachment 9: 20240522_153819.jpg
20240522_153819.jpg
  631   Thu May 9 09:08:26 2024 TDCurrent NewMerger install - aida-gsi
[npg@aidas-gsi MIDAS]$ ls -l
total 524
drwxrwxr-x.  2 npg npg    156 Mar 17  2021 Aida
drwxrwxr-x.  2 npg npg   4096 Jul 13  2015 bin_Linux64
drwxrwxr-x.  4 npg npg     38 Jul  3  2018 config
drwxr-xr-x.  3 npg npg     22 Jan 23  2019 config_ORIG
drwxr-xr-x.  3 npg npg     17 Jan 23  2019 Data_Acq
drwxrwxr-x. 25 npg npg   4096 Aug 19  2020 DataPackage
drwxrwxr-x.  3 npg npg     25 Aug 29  2019 DB
drwxr-xr-x.  3 npg npg     25 Jan 23  2019 DB_ORIG
lrwxrwxrwx.  1 npg npg     14 May 30  2019 Embedded -> /home/Embedded
drwxrwxr-x.  2 npg npg   4096 Mar 17  2021 ISOL_Aida
drwxrwxr-x.  2 npg npg   4096 Nov 29  2018 ISOL_Aida_PreApr9
drwxrwxr-x.  7 npg npg     87 Jul  8  2009 lib
drwxrwxr-x.  2 npg npg   4096 Sep 19  2012 lib_Linux64
drwxr-xr-x.  5 npg npg     47 Jan 23  2019 Linux
drwxrwxr-x.  6 npg npg     58 Feb 22  2018 linux-ppc_4xx
drwxr-xr-x.  6 npg npg     58 Jan 23  2019 linux-ppc_4xx_ORIG
drwxrwxr-x.  3 npg npg     73 Feb 16  2022 log
-rwxrw-r--.  1 npg npg  12862 Jul  9  2009 midasicon.ico
-rwxrw-r--.  1 npg npg    773 Jul 23  2012 midasicon.png
-rwxrwxr-x.  1 npg npg    772 Jan 20  2019 MIDASNewGen_BuildAIDA.txt
-rwxrwxr--.  1 npg npg   2532 Jan 19  2019 MIDASNewGen_BuildCore.txt
drwxrwxr-x. 14 npg npg   4096 Feb 22  2018 MIDASpackages
drwxrwxr-x.  6 npg npg    124 May 14  2022 NewMerger
-rw-rw-r--.  1 npg npg 118873 Oct 18  2019 NewMergerdiff.log
-rw-rw-r--.  1 npg npg 118971 Oct 18  2019 NewMergerdiff.log~
drwxrwxr-x.  5 npg npg     52 Jan  8  2019 NewMerger_PreApr9
drwxrwxr-x.  6 npg npg    124 Mar 12  2020 NewMerger_PreMar21
drwxrwxr-x.  7 npg npg    104 Oct 17  2019 NewMerger_PreOct19
-rw-r--r--.  1 npg npg 230517 Mar 12  2020 NewMerger.tgz
drwxr-xr-x. 14 npg npg   4096 Jan 23  2019 OLD
drwxr-xr-x.  3 npg npg     17 Jan 23  2019 SOAP
drwxrwxrwx.  4 npg npg     67 Aug 19  2021 SpecData
drwxrwxr-x.  3 npg npg     21 Nov 15  2019 TapeServer
drwxr-xr-x.  3 npg npg     21 Jan 23  2019 TapeServer_PreFeb21
drwxrwxr-x.  3 npg npg     21 Nov 15  2019 TapeServer_PreMar21
drwxr-xr-x.  2 npg npg     44 Feb  2  2021 tcl
drwxr-xr-x. 12 npg npg    152 Jan 23  2019 TclHttpd
drwxrwxr-x. 11 npg npg    155 Oct 26  2017 TclTkpackages
lrwxrwxrwx.  1 npg npg     26 May 30  2019 XilinxLinux -> /home/Embedded/XilinxLinux

[npg@aidas-gsi NewMerger]$ ls -lR
.:
total 32
-rw-rw-r--. 1 npg npg 15096 Apr 16  2019 Aida_ASICreadout.c
drwxrwxr-x. 3 npg npg    23 Oct 18  2019 Html
drwxrwxr-x. 2 npg npg   172 May 14  2022 include
drwxrwxr-x. 8 npg npg   256 May 14  2022 MergeServer
-rw-rw-r--. 1 npg npg 11048 Aug 29  2019 R3B_readout.c
-rw-rw-r--. 1 npg npg   152 Oct 17  2019 README
drwxrwxr-x. 2 npg npg    34 Apr 29  2021 tcl

./Html:
total 0
drwxrwxr-x. 6 npg npg 187 Oct 13  2020 NewMerger

./Html/NewMerger:
total 60
lrwxrwxrwx. 1 npg npg    21 Oct 18  2019 Html -> /MIDAS/NewMerger/Html
drwxrwxr-x. 2 npg npg     6 Aug 26  2019 LinkRates
-rw-rw-r--. 1 npg npg  1210 Aug 26  2019 NewMerger.js
-rw-rw-r--. 1 npg npg  9417 Aug 29  2019 NewMerger.tcl
-rw-rw-r--. 1 npg npg  9332 Aug 26  2019 NewMerger.tcl~
-rw-rw-r--. 1 npg npg 13052 Aug 27  2019 NewMerger.tml
-rw-rw-r--. 1 npg npg 13851 Aug 26  2019 NewMerger.tml~
drwxrwxr-x. 2 npg npg    82 Oct 13  2020 Options
drwxrwxr-x. 2 npg npg   102 Oct 13  2020 RunControl
drwxrwxr-x. 2 npg npg   192 Aug 29  2019 Stats

./Html/NewMerger/LinkRates:
total 0

./Html/NewMerger/Options:
total 24
-rwxrwxr-x. 1 npg npg  725 Aug 26  2019 Options.js
-rwxrwxr-x. 1 npg npg 3934 Aug 26  2019 Options.tcl
-rwxrwxr-x. 1 npg npg 7864 Aug 27  2019 Options.tml
-rw-rw-r--. 1 npg npg 7864 Aug 27  2019 Options.tml~

./Html/NewMerger/RunControl:
total 44
-rw-rw-r--. 1 npg npg  4791 Aug 26  2019 implementation.tcl
-rw-rw-r--. 1 npg npg  1643 Aug 26  2019 stats.defn.tcl
-rw-rw-r--. 1 npg npg   539 Aug 26  2019 stats.tcl
-rw-rw-r--. 1 npg npg 12328 Aug 29  2019 sys.tcl
-rw-rw-r--. 1 npg npg 12231 Aug 26  2019 sys.tcl~

./Html/NewMerger/Stats:
total 52
-rw-rw-r--. 1 npg npg 2880 Aug 26  2019 MergeStatsALL.tcl
-rw-rw-r--. 1 npg npg 1013 Aug 26  2019 MergeStats.js
-rw-rw-r--. 1 npg npg 1534 Aug 26  2019 MergeStatsSINGLE.tcl
-rw-rw-r--. 1 npg npg 4259 Aug 26  2019 MergeStats.tcl
-rw-rw-r--. 1 npg npg 8467 Aug 27  2019 MergeStats.tml
-rw-rw-r--. 1 npg npg 8338 Aug 26  2019 MergeStats.tml~
-rw-rw-r--. 1 npg npg 1829 Aug 29  2019 stats.defn.tcl
-rw-rw-r--. 1 npg npg 1943 Aug 29  2019 stats.defn.tcl~

./include:
total 52
-rw-rw-r--. 1 npg npg 1079 Apr 16  2019 buffer.h
-rw-rw-r--. 1 npg npg 4473 Apr 16  2019 common.h
-rw-rw-r--. 1 npg npg 1199 Jul 16  2019 merge.h
-rw-rw-r--. 1 npg npg 1273 Apr 16  2019 message.h
-rw-rw-r--. 1 npg npg 1273 Oct 17  2019 message.h~
-rw-rw-r--. 1 npg npg 5288 Aug 29  2019 netvar.h
-rw-rw-r--. 1 npg npg 5256 Apr 16  2019 netvar.h~
-rw-rw-r--. 1 npg npg  912 Apr 16  2019 services.h
-rw-rw-r--. 1 npg npg  886 Apr 18  2019 stats.h
-rw-rw-r--. 1 npg npg 2710 Apr 16  2019 transfer.h

./MergeServer:
total 60
-rw-rw-r--. 1 npg npg  2606 Apr 16  2019 alloc_data_area.c
drwxr-xr-x. 2 npg npg    69 Aug 27  2019 bin64
drwxrwxr-x. 2 npg npg   128 Apr  6  2021 bin64.BackUp
-rw-rw-r--. 1 npg npg 10510 Sep 19  2019 buffer.c
-rwxrwxr-x. 1 npg npg   305 Apr 16  2019 build
drwxrwxr-x. 3 npg npg   279 May 14  2022 link
-rw-rw-r--. 1 npg npg   494 Apr 16  2019 Makefile
drwxrwxr-x. 3 npg npg   160 Apr  6  2021 master
drwxrwxr-x. 3 npg npg   143 Apr  6  2021 merge
-rw-rw-r--. 1 npg npg  3225 Sep 19  2019 message.c
-rw-rw-r--. 1 npg npg  3199 Sep 19  2019 netvar.c
-rwxrwxr-x. 1 npg npg   397 Aug 26  2019 run
-rw-rw-r--. 1 npg npg 15309 Sep 19  2019 signal.c
drwxrwxr-x. 3 npg npg   101 Sep 19  2019 statrate
-rw-rw-r--. 1 npg npg  1548 Sep 19  2019 stats.c
-rw-rw-r--. 1 npg npg   593 Apr 16  2019 version.c

./MergeServer/bin64:
total 148
-rwxr-xr-x. 1 npg npg 51964 May 11  2021 link64
-rwxr-xr-x. 1 npg npg 33312 May 11  2021 master64
-rwxr-xr-x. 1 npg npg 36027 May 11  2021 merge64
-rwxr-xr-x. 1 npg npg 21370 May 11  2021 statrate64

./MergeServer/bin64.BackUp:
total 256
-rwxr-xr-x. 1 npg npg 51948 Mar 16  2021 link64
-rwxrwxr-x. 1 npg npg 33184 Apr  6  2021 master64
-rwxr-xr-x. 1 npg npg 33312 Mar 16  2021 master64.new
-rwxr-xr-x. 1 npg npg 36027 Mar 16  2021 merge64
-rwxr-xr-x. 1 npg npg 35368 Mar  9  2021 merge64.new
-rwxrwxr-x. 1 npg npg 35910 Mar  8  2021 merge64.orig
-rwxr-xr-x. 1 npg npg 21370 Mar 16  2021 statrate64

./MergeServer/link:
total 164
-rw-rw-r--. 1 npg npg 10216 Oct 18  2019 handle_buffer.c
-rw-rw-r--. 1 npg npg 24623 Sep 19  2019 link_server.c
-rw-rw-r--. 1 npg npg 24732 Oct 18  2019 link_server.c~
drwxrwxr-x. 2 npg npg   217 Oct 24  2019 Linux64
-rw-rw-r--. 1 npg npg  7051 Sep 19  2019 main.c
-rw-rw-r--. 1 npg npg  7029 Oct 18  2019 main.c~
-rw-rw-r--. 1 npg npg 14464 Sep 19  2019 processAIDADATAbuffer.c
-rw-rw-r--. 1 npg npg 14463 Oct 18  2019 processAIDADATAbuffer.c~
-rw-rw-r--. 1 npg npg 14950 Sep 19  2019 processR3BDATAbuffer.c
-rw-rw-r--. 1 npg npg 14925 Oct 18  2019 processR3BDATAbuffer.c~
-rw-rw-r--. 1 npg npg 15077 Aug 22  2019 processR3BDATAbuffer.c_PreAug22

./MergeServer/link/Linux64:
total 176
-rw-rw-r--. 1 npg npg  3088 Oct 24  2019 alloc_data_area.o
-rw-rw-r--. 1 npg npg  6216 Oct 24  2019 buffer.o
-rw-rw-r--. 1 npg npg 29840 Oct 24  2019 handle_buffer.o
-rwxrwxr-x. 1 npg npg 50660 Oct 24  2019 link64
-rw-rw-r--. 1 npg npg 24464 Oct 24  2019 link_server.o
-rw-rw-r--. 1 npg npg 14864 Oct 24  2019 main.o
-rw-rw-r--. 1 npg npg   795 Aug 22  2019 Makefile
-rw-rw-r--. 1 npg npg   776 Apr 16  2019 Makefile~
-rw-rw-r--. 1 npg npg  5264 Oct 24  2019 message.o
-rw-rw-r--. 1 npg npg 12848 Oct 24  2019 signal.o
-rw-rw-r--. 1 npg npg  3480 Oct 24  2019 stats.o
-rw-rw-r--. 1 npg npg  1864 Oct 24  2019 version.o

./MergeServer/master:
total 40
-rw-rw-r--. 1 npg npg 7850 Sep 18  2019 handle_signals.c
drwxrwxr-x. 2 npg npg  223 Oct 24  2019 Linux64
-rw-rw-r--. 1 npg npg 6952 Sep 19  2019 main.c
-rw-rw-r--. 1 npg npg 6973 Sep 19  2019 main.c~
-rw-rw-r--. 1 npg npg 1722 Aug 29  2019 start_link.c
-rw-rw-r--. 1 npg npg 1885 Aug 22  2019 start_link.c~
-rw-rw-r--. 1 npg npg 1372 Apr 16  2019 start_merge.c
-rw-rw-r--. 1 npg npg 1345 Apr 16  2019 start_statrate.c

./MergeServer/master/Linux64:
total 120
-rw-rw-r--. 1 npg npg  3408 Oct 24  2019 alloc_data_area.o
-rw-rw-r--. 1 npg npg  4168 Oct 24  2019 buffer.o
-rw-rw-r--. 1 npg npg 22840 Oct 24  2019 main.o
-rw-rw-r--. 1 npg npg   793 Jul 16  2019 Makefile
-rwxrwxr-x. 1 npg npg 33184 Oct 24  2019 master64
-rw-rw-r--. 1 npg npg  5264 Oct 24  2019 message.o
-rw-rw-r--. 1 npg npg 14616 Oct 24  2019 signal.o
-rw-rw-r--. 1 npg npg  3408 Oct 24  2019 start_link.o
-rw-rw-r--. 1 npg npg  2904 Oct 24  2019 start_merge.o
-rw-rw-r--. 1 npg npg  2808 Oct 24  2019 start_statrate.o
-rw-rw-r--. 1 npg npg  3480 Oct 24  2019 stats.o
-rw-rw-r--. 1 npg npg  1864 Oct 24  2019 version.o

./MergeServer/merge:
total 48
drwxrwxr-x. 2 npg npg  228 Oct 24  2019 Linux64
-rw-rw-r--. 1 npg npg 5130 Sep 19  2019 main.c
-rw-rw-r--. 1 npg npg 4579 Oct 24  2019 mergeAIDA.c
-rw-rw-r--. 1 npg npg 4119 Oct 17  2019 #merge.c#
-rw-rw-r--. 1 npg npg 4148 Oct 21  2019 merge.c
-rw-rw-r--. 1 npg npg 3703 Sep 19  2019 mergeR3B.c
-rw-rw-r--. 1 npg npg 8060 Sep 17  2019 merge_server.c
-rw-rw-r--. 1 npg npg 2394 Sep 17  2019 storage.c

./MergeServer/merge/Linux64:
total 120
-rw-rw-r--. 1 npg npg  3088 Oct 24  2019 alloc_data_area.o
-rw-rw-r--. 1 npg npg  3872 Oct 24  2019 buffer.o
-rw-rw-r--. 1 npg npg 10944 Oct 24  2019 main.o
-rw-rw-r--. 1 npg npg   789 Jul 16  2019 Makefile
-rw-rw-r--. 1 npg npg   776 Apr 16  2019 Makefile~
-rwxrwxr-x. 1 npg npg 35910 Oct 24  2019 merge64
-rw-rw-r--. 1 npg npg  9064 Oct 24  2019 merge.o
-rw-rw-r--. 1 npg npg  8160 Oct 24  2019 merge_server.o
-rw-rw-r--. 1 npg npg  5264 Oct 24  2019 message.o
-rw-rw-r--. 1 npg npg 12744 Oct 24  2019 signal.o
-rw-rw-r--. 1 npg npg  3480 Oct 24  2019 stats.o
-rw-rw-r--. 1 npg npg  4048 Oct 24  2019 storage.o
-rw-rw-r--. 1 npg npg  1864 Oct 24  2019 version.o

./MergeServer/statrate:
total 16
drwxrwxr-x. 2 npg npg  161 Oct 24  2019 Linux64
-rw-rw-r--. 1 npg npg 1876 Sep 19  2019 main.c
-rw-rw-r--. 1 npg npg 1717 Sep 19  2019 main.c~
-rw-rw-r--. 1 npg npg 2645 Apr 16  2019 statrate_server.c
-rw-rw-r--. 1 npg npg 2645 Apr 16  2019 statrate_server.c~

./MergeServer/statrate/Linux64:
total 80
-rw-rw-r--. 1 npg npg  8880 Oct 24  2019 main.o
-rw-rw-r--. 1 npg npg   725 Apr 16  2019 Makefile
-rw-rw-r--. 1 npg npg   728 Apr 16  2019 Makefile~
-rw-rw-r--. 1 npg npg  5376 Oct 24  2019 message.o
-rw-rw-r--. 1 npg npg 10144 Oct 24  2019 signal.o
-rwxrwxr-x. 1 npg npg 21370 Oct 24  2019 statrate64
-rw-rw-r--. 1 npg npg  4664 Oct 24  2019 statrate_server.o
-rw-rw-r--. 1 npg npg  3480 Oct 24  2019 stats.o
-rw-rw-r--. 1 npg npg  1872 Oct 24  2019 version.o

./tcl:
total 4
-rw-rw-r--. 1 npg npg 1775 Aug 26  2019 NewMergerControl.tcl
  630   Tue May 7 13:25:00 2024 JB, CC, NH, HMATuesday 6 May - dismount snout

Status before dismount

14:26 DSSSD#1 119.99 V @ 17.968 uA

          DSSSD#2 119.95 V @ 11.212 uA

          Leakage currents slightly elevated from Monday.

S4 temperature at 26 °C.

14:30 Detectors being debiased. All relay channels turned off. Voltages and currents of both DSSSDs to 0.

Plan:

- Dismount snout.

- Open up and remove BB7 and Upstream bPlast - leave AIDA 1 and 2 in place if possible.

 

 

Attachment 1: Screenshot_from_2024-05-07_14-25-52.png
Screenshot_from_2024-05-07_14-25-52.png
Attachment 2: Screenshot_from_2024-05-07_14-29-13.png
Screenshot_from_2024-05-07_14-29-13.png
  629   Mon May 6 07:10:26 2024 TDMonday 6 May
08.11 DSSSD#1 bias -120V leakage current -17.0uA
      DSSSD#2 bias -120V leakage current -10.5uA
      S4 temperature 25 deg C

      cf. 04.00 30.4.24 S4 temperature 25 deg C

      DSSSD#1 -21.9uA
      DSSSD#2 -13.0uA

      Leakage currents currently c. 80% of 30.4.24 values at same temperature 
Attachment 1: Capture.PNG
Capture.PNG
  628   Sun May 5 10:22:24 2024 TDSunday 5 May
11.22 DSSSD#1 bias -120V leakage current -17.1uA
      DSSSD#2 bias -120V leakage current -10.6uA
      S4 temperature 25 deg C

      cf. 07.00 29.4.24 S4 temperature 25 deg C

      DSSSD#1 -21.8uA
      DSSSD#2 -12.8uA

      Leakage currents currently c. 80% of 29.4.24 values at same temperature 
Attachment 1: Capture.PNG
Capture.PNG
  627   Sat May 4 07:36:07 2024 TDSaturday 4 May
08.32 DSSSD bias & leakage currents - Grafana - attachments 1-2
      FEE64 temperatures OK - attachment 3
      ADC, correlation scalers data item stats OK attachments 4-5
           11/16 <20k max aida16 174k
      per FEE64 Rate spectra - attachmnt 6


09.44 per p+n FEE64 1.8.L spectra - attachment 7
       aida09 pulser peak width 58 ch FWHM
      per FEE64 1.8.W spectra - attachments 8-10

09.47 bias cycle - off and then on
      no significant change in leakage current

09.57 FEE64 power OFF
      DSSSD bias ON
Attachment 1: Screenshot_from_2024-05-04_08-35-38.png
Screenshot_from_2024-05-04_08-35-38.png
Attachment 2: Screenshot_from_2024-05-04_08-33-29.png
Screenshot_from_2024-05-04_08-33-29.png
Attachment 3: Screenshot_from_2024-05-04_08-31-09.png
Screenshot_from_2024-05-04_08-31-09.png
Attachment 4: Screenshot_from_2024-05-04_08-31-45.png
Screenshot_from_2024-05-04_08-31-45.png
Attachment 5: Screenshot_from_2024-05-04_08-32-21.png
Screenshot_from_2024-05-04_08-32-21.png
Attachment 6: Screenshot_from_2024-05-04_08-32-41.png
Screenshot_from_2024-05-04_08-32-41.png
Attachment 7: Screenshot_from_2024-05-04_09-37-27.png
Screenshot_from_2024-05-04_09-37-27.png
Attachment 8: Screenshot_from_2024-05-04_09-42-27.png
Screenshot_from_2024-05-04_09-42-27.png
Attachment 9: Screenshot_from_2024-05-04_09-42-56.png
Screenshot_from_2024-05-04_09-42-56.png
Attachment 10: Screenshot_from_2024-05-04_09-44-31.png
Screenshot_from_2024-05-04_09-44-31.png
Attachment 11: Capture.PNG
Capture.PNG
  626   Thu May 2 23:08:43 2024 TDFriday 3 May
00.05 FEE64 temperatures OK
      ADC data item stats OK

09.31 FEE64 temperatures OK
      ADC data item stats OK

13.15 FEE64 temperatures OK
      ADC data item stats OK
       9/16 <20k max aida16 164k

20.15 FEE64 temperatures OK
      ADC data item stats
       aida02 & aida03 no data - DAQ reset
       9/16 <20k max aida16 158k

23.15 FEE64 temperatures OK
      ADC data item stats
       aida03 no data - DAQ reset
       10/16 <20k max aida16 153k
  625   Thu May 2 00:16:22 2024 TD, NHThursday 2 May
01.15 FEE64 temperatures OK
      ADC data item stats
       aida02 & aida04 no data
       6/16 < 20k


10.25 FEE64 temperatures OK
      ADC data item stats
       aida02 & aida04 restarted
       7/16 < 20k, max 220k aida16

      all histograms zero'd

13.20 per p+n FEE64 1.8.L spectra - attachment 1
       aida09 pulser peak width 59 ch FWHM
      FEE64 temperatures OK
      ADC data item stats
       aida04 no data
       5/16 < 20k, max 332k aida08 

14.37
  Moved Time Machine delayed scaler from aida04 to aida07
  aida04 no data
  Reset merger etc 
  aida05 no data
  Reset merger etc 
  All FEEs with data again

  S4 Ambient Environment: 26.6 C / 30.4% RH / Td=7.8 C

17.26 FEE64 temperatures OK
      ADC data item stats OK

19.28 FEE64 temperatures OK
      ADC data item stats 
       aida02 no data - DAQ reset
      
Attachment 1: Screenshot_from_2024-05-02_13-21-07.png
Screenshot_from_2024-05-02_13-21-07.png
Attachment 2: Screenshot_from_2024-05-02_13-22-16.png
Screenshot_from_2024-05-02_13-22-16.png
Attachment 3: Screenshot_from_2024-05-02_13-22-43.png
Screenshot_from_2024-05-02_13-22-43.png
  624   Wed May 1 08:59:15 2024 TDWednesday 1 May
04.55 FEE64 temperatures OK
      ADC data item stats OK *except* aida04 no data
      TapeServer no storage mode

09.55 FEE64 temperatures OK
      ADC data item stats OK *except* aida02 & aida04 no data
      DSSSD bias and leakage current - Grafana - attachment 1

19.28 FEE64 temperatures OK
      ADC data item stats
       aida02 & aida04 no data
       noted increase in rates for aid08 and aida16
       5/16 < 20k
Attachment 1: Capture.PNG
Capture.PNG
  623   Tue Apr 30 09:06:45 2024 TD, NHTuesday 30 April
10.00 DSSSD bias & leakasge current - Grafana - attachments 1-2

      FEE64 temperatures OK - attachment 3

      ADC data item stats - attachment 4
       9/16 FEE64s < 20k 

      per FEE64 Rate spectra - attachment 5

      Merger etc - attachments 6-7
       aida02, aida04 inactive

11.20 DAQ restarted
      aida02 and aida04 now producing data


11.30 analysis data file R22_313
      all deadtimes <1%
      no timewarps
      HEC data item rate 560Hz (all from one channel of aida08 asic #3)
      aida03 & aida04 MBS rates c. 24kHz


14.32 FEE64 temperatures OK
      ADC data item stats OK
       all FEE64s producing data

14.43 all histograms & stats zero'd

[NH checks here]

21.26 aida04 stopped forwarding to merger
 the "quick fix" (stop merger, rerun) worked

MBS is having huge issues on DESPEC side, AIDA not included
Decide to stop taking data to disk for now (no storage mode on)
Keeping running (incl. to AIDA FDR MBS) just to get an idea of stability

System Wide Checks
 clocks PASSED
 ADC IGNORED
 WR:
		 Base 		Current 	Difference
aida02 fault 	 0xe4d8 : 	 0x65c0 : 	 -32536  
aida03 fault 	 0x2371 : 	 0x2380 : 	 15  
aida04 fault 	 0xa210 : 	 0xaf58 : 	 3400  
aida06 fault 	 0x3624 : 	 0x3626 : 	 2  
White Rabbit error counter test result: Passed 12, Failed 4

FPGA: 
	
			 Base 		Current 		Difference
aida02 fault 	 0xaa3b : 	 0xc455 : 	 6682  
aida04 fault 	 0x5a4 : 	 0x6ba : 	 278  
aida06 fault 	 0x0 : 	 0x1 : 	 1  
FPGA Timestamp error counter test result: Passed 13, Failed 3
If any of these counts are reported as in error
The ASIC readout system has detected a timeslip.
That is the timestamp read from the time FIFO is not younger than the last

Module aida04 LMK PLL channel 1 lock transition counter has incremented
Module aida04 LMK PLL channel 2 lock transition counter has incremented
PLL lock counter test result: Passed 15, Failed 1

Baselines reset

22.50 FEE64 temps ok
      merger ok
       all links active
      ADC data item stats ok
Attachment 1: Screenshot_from_2024-04-30_10-05-08.png
Screenshot_from_2024-04-30_10-05-08.png
Attachment 2: Screenshot_from_2024-04-30_10-05-37.png
Screenshot_from_2024-04-30_10-05-37.png
Attachment 3: Screenshot_from_2024-04-30_10-01-32.png
Screenshot_from_2024-04-30_10-01-32.png
Attachment 4: Screenshot_from_2024-04-30_10-02-03.png
Screenshot_from_2024-04-30_10-02-03.png
Attachment 5: Screenshot_from_2024-04-30_10-03-26.png
Screenshot_from_2024-04-30_10-03-26.png
Attachment 6: Screenshot_from_2024-04-30_10-03-58.png
Screenshot_from_2024-04-30_10-03-58.png
Attachment 7: R22_313
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  246802750 (  787077.4 Hz)
        Other data format:   15117251 (   48210.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        802 (       2.6 Hz)
                               RESUME:        802 (       2.6 Hz)
                              SYNC100:      33168 (     105.8 Hz)
                              WR48-63:      33168 (     105.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   15049311 (   47993.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     182597 (     582.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      313.569 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.410                0.000
  4                0.000                0.000
  5                0.208                0.000
  6                0.000                0.000
  7                1.577                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                1.890                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                1.784                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    4403022      10517          0          0          0          0        556        556          0       9405          0          0
  1    4797622      11663          0          0          0          0        607        607          0      10449          0          0
  2    9960742    7551016          0          0          0          0       2246       2246          0    7546524          0          0
  3   26989916    7491649          0          0         64         64       4294       4294          0    7482933          0          0
  4    2737535        758          0          0          0          0        379        379          0          0          0          0
  5   21805196       5662          0          0         70         70       2761       2761          0          0          0          0
  6    6978804       1886          0          0          0          0        943        943          0          0          0          0
  7   53329550      13820          0          0        126        126       6784       6784          0          0          0     182597
  8     479454        122          0          0          0          0         61         61          0          0          0          0
  9    5592721       1538          0          0          0          0        769        769          0          0          0          0
 10   39929583      11138          0          0        441        441       5128       5128          0          0          0          0
 11    2351324        636          0          0          0          0        318        318          0          0          0          0
 12    5309169       1226          0          0          0          0        613        613          0          0          0          0
 13    3913898       1006          0          0          0          0        503        503          0          0          0          0
 14    2364855        578          0          0          0          0        289        289          0          0          0          0
 15   55859359      14036          0          0        101        101       6917       6917          0          0          0          0
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   32.668s (  979.553 blocks/s,  61.222 Mb/s)
  622   Mon Apr 29 08:11:16 2024 TDMonday 29 April
08.52 Beam off

09.11 New data file R22
      Monitor accumulated implanted activity in bPlas and DSSSDs

      ASIC settings 2024Apr26-01.29.53

      DSSSD bias & leakage current OK - attachments 1-3

      FEE64 temperatures OK - attachment 4

      ADC data item stats - attachment 5

      per FEE64 Rate spectra - attachment 6

      Merger etc - attachment 7

      analysis data file R22_19 - attachment 8
       all deadtimes 0.3%, or less
       no timewarps
       HEC data item rate 390Hz

   
10.00 all histograms & stats zero'd


13.24 DSSSD bias & leakage current OK - attachments 9-10

      FEE64 temperatures OK - attachment 11

      ADC data item stats - attachment 12

      per FEE64 Rate spectra - attachment 13

      per p+n FEE64 1.8.L spectra - attachments 14-16
       common x/y axes - peak height proxy for width
       aida09 pulser peak width 58 ch FWHM (~41keV FWHM)  cf. https://elog.ph.ed.ac.uk/DESPEC/585 attachment 38
       electronic noise today very similar to electronic noise Monday 22 April

      per FEE64 1.8.W spectra - 20us FSR - attachments 17-19

      Merger etc - attachment 20

      analysis data file R22_60 - attachment 21
       max deadtime 1.7% (aida11), all others <1% 
       no timewarps
       HEC data item rate 710Hz

14.13 ucesb - attachment 22

14.15 aida04 not producing data

14.33 DAQ reset per Elog 618 https://elog.ph.ed.ac.uk/DESPEC/618

15.27 *all* FEE64s stop producing data - reason unknown

16.15 DAQ reset per Elog 618 https://elog.ph.ed.ac.uk/DESPEC/618


16.29 DSSSD bias & leakage current OK - attachments 23-24

      FEE64 temperatures OK - attachment 25

      ADC data item stats - attachment 26

      per FEE64 Rate spectra - attachment 27

      Merger etc - attachment 28


20.45 DSSSD bias & leakage current OK - attachments 29-30

      FEE64 temperatures OK - attachment 32

      ADC data item stats - attachment 31

      per FEE64 Rate spectra - attachment 33

      Merger etc - attachment 34

20.54  analysis data file R22_145 - attachment 35
       max deadtime 1.5% (aida11), all others <1% 
       no timewarps
       HEC data item rate 775Hz



03.45 DSSSD bias & leakage current OK - attachments 36-37

      FEE64 temperatures OK - attachment 38

      ADC data item stats - attachment 39

      per FEE64 Rate spectra - attachment 40

      Merger etc - attachment 41
Attachment 1: Screenshot_from_2024-04-29_09-13-12.png
Screenshot_from_2024-04-29_09-13-12.png
Attachment 2: Screenshot_from_2024-04-29_09-13-37.png
Screenshot_from_2024-04-29_09-13-37.png
Attachment 3: Screenshot_from_2024-04-29_09-13-56.png
Screenshot_from_2024-04-29_09-13-56.png
Attachment 4: Screenshot_from_2024-04-29_09-14-31.png
Screenshot_from_2024-04-29_09-14-31.png
Attachment 5: Screenshot_from_2024-04-29_09-15-05.png
Screenshot_from_2024-04-29_09-15-05.png
Attachment 6: Screenshot_from_2024-04-29_09-15-48.png
Screenshot_from_2024-04-29_09-15-48.png
Attachment 7: Screenshot_from_2024-04-29_09-17-25.png
Screenshot_from_2024-04-29_09-17-25.png
Attachment 8: R22_19
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  245162657 (  661369.3 Hz)
        Other data format:   16757344 (   45205.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        432 (       1.2 Hz)
                               RESUME:        432 (       1.2 Hz)
                              SYNC100:      33381 (      90.1 Hz)
                              WR48-63:      33381 (      90.1 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   16689718 (   45023.4 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     145285 (     391.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      370.689 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.421                0.000
  4                0.000                0.000
  5                0.062                0.000
  6                0.000                0.000
  7                1.097                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                1.032                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                1.195                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    4689453      12333          0          0          0          0        606        606          0      11121          0        151
  1    6714412    1102163          0          0          0          0        995        995          0    1100173          0        247
  2    9093299    6196435          0          0          0          0       2024       2024          0    6192387          0        151
  3   31978220    6022790          0          0         67         67       4731       4731          0    6013194          0        212
  4    3345145    1695016          0          0          0          0        641        641          0    1693734          0         75
  5   18560198    1684371          0          0         12         12       2619       2619          0    1679109          0        279
  6    7471590       2034          0          0          0          0       1017       1017          0          0          0        150
  7   51047482      13240          0          0        105        105       6515       6515          0          0          0     143158
  8    1099097        280          0          0          0          0        140        140          0          0          0        152
  9    7056038       1776          0          0          0          0        888        888          0          0          0        136
 10   35026945       9268          0          0        144        144       4490       4490          0          0          0         97
 11    2488733        676          0          0          0          0        338        338          0          0          0        118
 12    6088987       1632          0          0          0          0        816        816          0          0          0         53
 13    5057582       1278          0          0          0          0        639        639          0          0          0        108
 14    2541330        642          0          0          0          0        321        321          0          0          0        127
 15   52904146      13410          0          0        104        104       6601       6601          0          0          0         71
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   33.137s (  965.696 blocks/s,  60.356 Mb/s)
Attachment 9: Screenshot_from_2024-04-29_13-24-45.png
Screenshot_from_2024-04-29_13-24-45.png
Attachment 10: Screenshot_from_2024-04-29_13-25-48.png
Screenshot_from_2024-04-29_13-25-48.png
Attachment 11: Screenshot_from_2024-04-29_13-26-19.png
Screenshot_from_2024-04-29_13-26-19.png
Attachment 12: Screenshot_from_2024-04-29_13-26-51.png
Screenshot_from_2024-04-29_13-26-51.png
Attachment 13: Screenshot_from_2024-04-29_13-27-33.png
Screenshot_from_2024-04-29_13-27-33.png
Attachment 14: Screenshot_from_2024-04-29_13-28-45.png
Screenshot_from_2024-04-29_13-28-45.png
Attachment 15: Screenshot_from_2024-04-29_13-29-15.png
Screenshot_from_2024-04-29_13-29-15.png
Attachment 16: Screenshot_from_2024-04-29_13-30-17.png
Screenshot_from_2024-04-29_13-30-17.png
Attachment 17: Screenshot_from_2024-04-29_13-32-51.png
Screenshot_from_2024-04-29_13-32-51.png
Attachment 18: Screenshot_from_2024-04-29_13-33-20.png
Screenshot_from_2024-04-29_13-33-20.png
Attachment 19: Screenshot_from_2024-04-29_13-34-07.png
Screenshot_from_2024-04-29_13-34-07.png
Attachment 20: Screenshot_from_2024-04-29_13-34-32.png
Screenshot_from_2024-04-29_13-34-32.png
Attachment 21: R22_60
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  258414198 (  779475.1 Hz)
        Other data format:    3505804 (   10574.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        880 (       2.7 Hz)
                               RESUME:        880 (       2.7 Hz)
                              SYNC100:      33235 (     100.2 Hz)
                              WR48-63:      33235 (     100.2 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    3437574 (   10369.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     235960 (     711.7 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      331.523 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.095                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.000                0.000
  4                0.000                0.000
  5                0.217                0.000
  6                0.140                0.000
  7                2.432                0.000
  8                0.000                0.000
  9                0.011                0.000
 10                5.658                0.000
 11                0.000                0.000
 12                0.051                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                2.652                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    4927110      11209          0          0          4          4        628        628          0       9945          0         39
  1    5556527     109296          0          0          0          0        741        741          0     107814          0         59
  2   10335604    3081300          0          0          0          0       1668       1668          0    3077964          0         29
  3          0          0          0          0          0          0          0          0          0          0          0          0
  4    3176904     121984          0          0          0          0        428        428          0     121128          0         19
  5   28235398     128309          0          0         73         73       3720       3720          0     120723          0         52
  6    7534053       1958          0          0          2          2        977        977          0          0          0         22
  7   65092755      17088          0          0        173        173       8371       8371          0          0          0     235567
  8     631770        138          0          0          0          0         69         69          0          0          0         28
  9    6806363       1686          0          0          1          1        842        842          0          0          0         28
 10   44391302      12266          0          0        505        505       5628       5628          0          0          0         16
 11    2632024        670          0          0          0          0        335        335          0          0          0         21
 12    5950079       1370          0          0          1          1        684        684          0          0          0         14
 13    4496081       1136          0          0          0          0        568        568          0          0          0         29
 14    2644608        652          0          0          0          0        326        326          0          0          0         25
 15   66003620      16742          0          0        121        121       8250       8250          0          0          0         12
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   37.793s (  846.718 blocks/s,  52.920 Mb/s)
Attachment 22: Capture.PNG
Capture.PNG
Attachment 23: Screenshot_from_2024-04-29_16-29-34.png
Screenshot_from_2024-04-29_16-29-34.png
Attachment 24: Screenshot_from_2024-04-29_16-29-54.png
Screenshot_from_2024-04-29_16-29-54.png
Attachment 25: Screenshot_from_2024-04-29_16-30-39.png
Screenshot_from_2024-04-29_16-30-39.png
Attachment 26: Screenshot_from_2024-04-29_16-31-01.png
Screenshot_from_2024-04-29_16-31-01.png
Attachment 27: Screenshot_from_2024-04-29_16-31-51.png
Screenshot_from_2024-04-29_16-31-51.png
Attachment 28: Screenshot_from_2024-04-29_16-32-12.png
Screenshot_from_2024-04-29_16-32-12.png
Attachment 29: Screenshot_from_2024-04-29_20-45-26.png
Screenshot_from_2024-04-29_20-45-26.png
Attachment 30: Screenshot_from_2024-04-29_20-46-25.png
Screenshot_from_2024-04-29_20-46-25.png
Attachment 31: Screenshot_from_2024-04-29_20-46-52.png
Screenshot_from_2024-04-29_20-46-52.png
Attachment 32: Screenshot_from_2024-04-29_20-47-20.png
Screenshot_from_2024-04-29_20-47-20.png
Attachment 33: Screenshot_from_2024-04-29_20-48-08.png
Screenshot_from_2024-04-29_20-48-08.png
Attachment 34: Screenshot_from_2024-04-29_20-48-56.png
Screenshot_from_2024-04-29_20-48-56.png
Attachment 35: R22_145
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  247656598 (  992529.4 Hz)
        Other data format:   14263402 (   57163.2 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        881 (       3.5 Hz)
                               RESUME:        881 (       3.5 Hz)
                              SYNC100:      32930 (     132.0 Hz)
                              WR48-63:      32930 (     132.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   14195780 (   56892.2 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     193328 (     774.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      249.521 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.003                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                0.318                0.000
  4                0.000                0.000
  5                1.537                0.000
  6                0.000                0.000
  7                1.906                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                3.841                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                1.886                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    6683029       9310          0          0          5          5        906        906          0       7488          0         74
  1    5367157    1313019          0          0          0          0        827        827          0    1311365          0         63
  2   11584446    4358913          0          0          0          0       1980       1980          0    4354953          0         37
  3   22834625    4167004          0          0         37         37       3370       3370          0    4160190          0         57
  4    3277605    2130735          0          0          0          0        657        657          0    2129421          0         19
  5   24294581    2239601          0          0        194        194       3425       3425          0    2232363          0         49
  6    6724993       1760          0          0          0          0        880        880          0          0          0         28
  7   50507044      12910          0          0        126        126       6329       6329          0          0          0     192806
  8     656116        188          0          0          0          0         94         94          0          0          0         10
  9    5715462       1410          0          0          0          0        705        705          0          0          0         12
 10   39787841      10690          0          0        431        431       4914       4914          0          0          0          4
 11    3128950        784          0          0          0          0        392        392          0          0          0         67
 12    4910404       1244          0          0          0          0        622        622          0          0          0         13
 13    3786534        940          0          0          0          0        470        470          0          0          0         59
 14    2967123        782          0          0          0          0        391        391          0          0          0          2
 15   55430688      14112          0          0         88         88       6968       6968          0          0          0         28
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   33.727s (  948.807 blocks/s,  59.300 Mb/s)
Attachment 36: Screenshot_from_2024-04-30_03-45-13.png
Screenshot_from_2024-04-30_03-45-13.png
Attachment 37: Screenshot_from_2024-04-30_03-46-07.png
Screenshot_from_2024-04-30_03-46-07.png
Attachment 38: Screenshot_from_2024-04-30_03-46-43.png
Screenshot_from_2024-04-30_03-46-43.png
Attachment 39: Screenshot_from_2024-04-30_03-47-10.png
Screenshot_from_2024-04-30_03-47-10.png
Attachment 40: Screenshot_from_2024-04-30_03-47-40.png
Screenshot_from_2024-04-30_03-47-40.png
Attachment 41: Screenshot_from_2024-04-30_03-48-26.png
Screenshot_from_2024-04-30_03-48-26.png
  621   Sun Apr 28 22:52:06 2024 Marc0:00-08:00 Monday 29 April

Just another manic magic Monday, ....

Taking over Betool's AIDA shift. All is good.

This shift's first hour has been eventless. Temperatures, Rates, bias, all look good.

01:15: AIDA04 is down again. DAQ shift crew informed.

01:27: AIDA04 is back and shift crew has been informed.

01:30 Checks:

     Bias and leakage current - Attachment 1-2

     Temperatures - Attachment 3

     ADC Data item - Attachment 4

     Rate Spectra - Attachment 5

     UCESB - Attachment  6

     Timestamp checks - Attachement 7

06:00 It has run very smoothly.   

 

Attachment 1: Screenshot_from_2024-04-29_01-33-27.png
Screenshot_from_2024-04-29_01-33-27.png
Attachment 2: Screenshot_from_2024-04-29_01-34-37.png
Screenshot_from_2024-04-29_01-34-37.png
Attachment 3: Screenshot_from_2024-04-29_01-36-12.png
Screenshot_from_2024-04-29_01-36-12.png
Attachment 4: Screenshot_from_2024-04-29_01-36-41.png
Screenshot_from_2024-04-29_01-36-41.png
Attachment 5: Screenshot_from_2024-04-29_01-37-56.png
Screenshot_from_2024-04-29_01-37-56.png
Attachment 6: Screenshot_from_2024-04-29_01-32-39.png
Screenshot_from_2024-04-29_01-32-39.png
Attachment 7: Screenshot_from_2024-04-29_01-42-58.png
Screenshot_from_2024-04-29_01-42-58.png
  620   Sun Apr 28 20:35:57 2024 Betool Alayed16:0-00:00 28 April 2024

21:30 screenshots

and

23:24 screenshots

Attachment 1: Screenshot_from_2024-04-28_21-30-19.png
Screenshot_from_2024-04-28_21-30-19.png
Attachment 2: Screenshot_from_2024-04-28_21-31-11.png
Screenshot_from_2024-04-28_21-31-11.png
Attachment 3: Screenshot_from_2024-04-28_21-32-07.png
Screenshot_from_2024-04-28_21-32-07.png
Attachment 4: Screenshot_from_2024-04-28_21-32-51.png
Screenshot_from_2024-04-28_21-32-51.png
Attachment 5: Screenshot_from_2024-04-28_21-35-10.png
Screenshot_from_2024-04-28_21-35-10.png
Attachment 6: Screenshot_from_2024-04-28_23-35-25.png
Screenshot_from_2024-04-28_23-35-25.png
Attachment 7: Screenshot_from_2024-04-28_23-34-31.png
Screenshot_from_2024-04-28_23-34-31.png
Attachment 8: Screenshot_from_2024-04-28_23-33-42.png
Screenshot_from_2024-04-28_23-33-42.png
Attachment 9: Screenshot_from_2024-04-28_23-32-28.png
Screenshot_from_2024-04-28_23-32-28.png
Attachment 10: Screenshot_from_2024-04-28_23-31-51.png
Screenshot_from_2024-04-28_23-31-51.png
  619   Sun Apr 28 16:26:47 2024 Betool Alayed16:00-00:00 Sunday 28 April

19:24 pm screenshots

and

17:18 pm screenshots

Attachment 1: Screenshot_from_2024-04-28_17-18-38.png
Screenshot_from_2024-04-28_17-18-38.png
Attachment 2: Screenshot_from_2024-04-28_17-13-13.png
Screenshot_from_2024-04-28_17-13-13.png
Attachment 3: Screenshot_from_2024-04-28_17-11-48.png
Screenshot_from_2024-04-28_17-11-48.png
Attachment 4: Screenshot_from_2024-04-28_17-10-32.png
Screenshot_from_2024-04-28_17-10-32.png
Attachment 5: Screenshot_from_2024-04-28_17-04-10.png
Screenshot_from_2024-04-28_17-04-10.png
Attachment 6: Screenshot_from_2024-04-28_19-18-42.png
Screenshot_from_2024-04-28_19-18-42.png
Attachment 7: Screenshot_from_2024-04-28_19-20-10.png
Screenshot_from_2024-04-28_19-20-10.png
Attachment 8: Screenshot_from_2024-04-28_19-22-19.png
Screenshot_from_2024-04-28_19-22-19.png
Attachment 9: Screenshot_from_2024-04-28_19-23-19.png
Screenshot_from_2024-04-28_19-23-19.png
Attachment 10: Screenshot_from_2024-04-28_19-24-40.png
Screenshot_from_2024-04-28_19-24-40.png
  618   Sun Apr 28 16:07:59 2024 TD[How To] Recover DAQ when one FEE64 stops sending data - short(er) version
0) Please infrom the 'on shift' crew that you need to restart the AIDA DAQ

1) STOP DAQ [workspace 2, display 1, browser tab 'Run Control']
   all FEE64s should change status from 'going' to 'stopped' *except* whichever FEE64(s) have a problem - typically their status is 'going' or 'error'

2) Restart Merger by selecting the Merger icon [workspace 3, display 2]
    terminal window showing the startup will popup

3) Setup Merger by selecting 'SETUP' [workspace 3, display 1, browser tab 'NewMerger Control']

4) Start Merger by selecting 'GO' [workspace 3, display 1, browser tab 'NewMerger Control']
     all data links will be inactive (red)

5)   Disable data transfer by selecting 'Data transfer Disable #1 all' [workspace 2, display 1, browser tab 'Run Control']

6) Start DAQ

    Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ is *actually* going - if not repeat 'GO'

7) Check WR timestamps by selecting 'Collect all timestamps' [workspace 2, display 1, browser tab 'GSI White Rabbit Control']
     readout times will be displayed for each FEE64
     check that the readout times are monotonically increasing as you read down the list of readout times - if not, contact expert support

8) Stop DAQ 

    Start DAQ by selecting 'STOP' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ has *actually* stopped - if not repeat 'STOP

9) Enable data transfer to Merger and TapeServer and start DAQ

    Enable data transfer by selecting 'Enable data transfer Enable #1 All' [workspace 2, display 1, browser tab 'Run Control']

    Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ is *actually* going - if not repeat 'GO'

10) Check data is being transferred to Merger and TapeServer

    Select 'Reload' [workspace 3, display 1, browser tab 'NewMerger Control']
     you should see a non-zero 'current merged data rate' and all links should show as green at least some of the time (requires observation over several reloads)

    Select 'Reload' [workspace 3, display 1, browser tab 'TapeServer']
     you should see 'Blocks written' and 'kb written' counters increase

11) Check AIDA data is being forwarded from the TapeServer to MBS [workspace 3, display 2, command terminal]

12) Restart should now have been successfully completed. Please inform the 'on shift' crew' that AIDA is forwarding data.

    If not, please request expert assistance.
  617   Sun Apr 28 15:49:58 2024 TDDAQ restart short(er) cut
16.45 aida04 stopped producing data

      DAQ STOP (workspace 2, display 1, browser 'Run Control' tab)

       aida04 did not stop, all other FEE64s stopped

      restart Merger (workspace 3, display 2, select 'NewMerger' icon)

      SETUP Merger (workspace 3, display 1, browser 'NewMerger' tab)
      GO Merger (workspace 3, display 1, browser 'NewMerger' tab)

       aida04 now stops

      disable *all* #1 data transfer 

      DAQ GO (workspace 2, display 1, browser 'Run Control' tab)
      check WR timestamps OK (workspace 2, display 1, GSI WR Timestamp Control)

      DAQ STOP (workspace 2, display 1, browser 'Run Control' tab)
      enable *all* #1 data transfer
      DAQ GO (workspace 2, display 1, browser 'Run Control' tab)


      No reboot or power cycle required. Just clear the Merger data links, restart DAQ.
      
  616   Sun Apr 28 15:09:34 2024 Betool Alayed16:0-00:00 28 April 2024

16:00 aida04 not producing data

          power cycle all FEE64s to recover DAQ

16.20 analysis data file R21_668

         max deadtime 17% (aida04), 9% (aida02), 2% (aida06) all others < 1%

         no timewarps

         HEC data item rate 1.9kHz

 

Attachment 1: R21_668.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  256513573 ( 1160512.7 Hz)
        Other data format:    5406427 (   24459.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1079 (       4.9 Hz)
                               RESUME:       1078 (       4.9 Hz)
                              SYNC100:      32824 (     148.5 Hz)
                              WR48-63:      32824 (     148.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    5338622 (   24152.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     440937 (    1994.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      221.035 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.085                0.000
  1               19.736                0.000
  2                0.053                0.000
  3               36.607                0.000
  4                0.854                0.000
  5                4.747                0.000
  6                0.000                0.000
  7                1.845                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.661                0.000
 11                0.020                0.000
 12                0.004                0.000
 13                0.000                0.000
 14                0.003                0.000
 15                1.247                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    8138410       8624          0          0         12         12        985        985          0       6630          0      38979
  1   27142829     245404          0          0        242        242       3461       3461          0     237998          0      64179
  2   11096772    2350080          0          0          8          8       1768       1768          0    2346528          0      26862
  3   37888832    1835283          0          0        304        303       4762       4762          0    1825152          0      18340
  4    9329455     413600          0          0         28         28       1212       1212          0     411120          0      29227
  5   23846951     517930          0          0        167        167       3201       3201          0     511194          0      24385
  6    5635241       1420          0          0          0          0        710        710          0          0          0       8053
  7   40074524      10318          0          0         93         93       5066       5066          0          0          0     120554
  8    3088839        754          0          0          0          0        377        377          0          0          0      14672
  9    5102920       1254          0          0          0          0        627        627          0          0          0       6080
 10   25963054       6756          0          0        140        140       3238       3238          0          0          0       2640
 11    5980678       1458          0          0          5          5        724        724          0          0          0      52714
 12    5769255       1548          0          0          2          2        772        772          0          0          0       8398
 13    3930590        926          0          0          0          0        463        463          0          0          0       9544
 14    5399163       1328          0          0          1          1        663        663          0          0          0       5692
 15   38126060       9744          0          0         77         77       4795       4795          0          0          0      10618
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   34.004s (  941.068 blocks/s,  58.817 Mb/s)
  615   Sun Apr 28 06:57:59 2024 Magda Satrazani08:00-16:00 Sunday 28 April

Took over the shift from Marc.

 

 

10.51 Added screenshots to illustrate erroneous data from Grafana (AIDA) - attachments 18-19

          Compare to the CAEN HV control terminal (attachment 15) which shows the expected values. Have email'd Nic & Helena. TD

10.55 Noticed that the CAEN HV control terminal is showing the corerct data but on the wrong line of the display (attachment 15). Enter commands q (quit) and d (display) to restart display - the correct data now appears on the correct line (attachment 20).

         Grafana (AIDA) is now reporting data correctly too (attachment 21).

          Summary - CAEN HV terminal display issue ( c. 10.05 - 10.55 )

                             Note the correct data/values continued to be shown. Leakage current shown correctly by both Grafana and CAEN HV control throughout.

                             Conclude this was a display issue - not a change in the operating parameters of the CAEN N1419ET.. Data should be OK.

 

14.45 analysis data file R21_500 - attachment 36

         max deadtime 22% (aida11), 16% (aida04), 7% (aida02), 6% (aida08), 6% (aida06) , all others < 1%

         no timewarps

         HEC data item rate 2.7kHz

 

Attachment 1: Screenshot_2024-04-28_at_08-00-09_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-04-28_at_08-00-09_Temperature_and_status_scan_aidas-gsi.png
Attachment 2: Screenshot_2024-04-28_at_08-01-18_Statistics_aidas-gsi.png
Screenshot_2024-04-28_at_08-01-18_Statistics_aidas-gsi.png
Attachment 3: leakage_current.png
leakage_current.png
Attachment 4: Screenshot_2024-04-28_at_08-05-38_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-04-28_at_08-05-38_Spectrum_Browser_aidas-gsi.png
Attachment 5: Screenshot_2024-04-28_at_08-08-14_ucesb.png
Screenshot_2024-04-28_at_08-08-14_ucesb.png
Attachment 6: Screenshot_2024-04-28_at_08-09-21_AIDA_-_Grafana.png
Screenshot_2024-04-28_at_08-09-21_AIDA_-_Grafana.png
Attachment 7: Screenshot_2024-04-28_at_08-11-20_AIDA_Alerting_-_Grafana.png
Screenshot_2024-04-28_at_08-11-20_AIDA_Alerting_-_Grafana.png
Attachment 8: Screenshot_2024-04-28_at_10-01-48_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-04-28_at_10-01-48_Temperature_and_status_scan_aidas-gsi.png
Attachment 9: Screenshot_2024-04-28_at_08-01-18_Statistics_aidas-gsi.png
Screenshot_2024-04-28_at_08-01-18_Statistics_aidas-gsi.png
Attachment 10: Screenshot_2024-04-28_at_10-02-44_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-04-28_at_10-02-44_Spectrum_Browser_aidas-gsi.png
Attachment 11: Screenshot_2024-04-28_at_10-03-40_AIDA_-_Grafana.png
Screenshot_2024-04-28_at_10-03-40_AIDA_-_Grafana.png
Attachment 12: Screenshot_2024-04-28_at_10-04-04_AIDA_Alerting_-_Grafana.png
Screenshot_2024-04-28_at_10-04-04_AIDA_Alerting_-_Grafana.png
Attachment 13: Screenshot_2024-04-28_at_10-04-19_ucesb.png
Screenshot_2024-04-28_at_10-04-19_ucesb.png
Attachment 14: Screenshot_from_2024-04-28_10-08-23.png
Screenshot_from_2024-04-28_10-08-23.png
Attachment 15: Screenshot_from_2024-04-28_10-30-24.png
Screenshot_from_2024-04-28_10-30-24.png
Attachment 16: Screenshot_2024-04-28_at_10-34-47_AIDA_-_Grafana.png
Screenshot_2024-04-28_at_10-34-47_AIDA_-_Grafana.png
Attachment 17: Screenshot_2024-04-28_at_10-34-56_AIDA_Alerting_-_Grafana.png
Screenshot_2024-04-28_at_10-34-56_AIDA_Alerting_-_Grafana.png
Attachment 18: Capture_Grafana.PNG
Capture_Grafana.PNG
Attachment 19: Capture_Grafana_2.PNG
Capture_Grafana_2.PNG
Attachment 20: Screenshot_from_2024-04-28_10-58-43.png
Screenshot_from_2024-04-28_10-58-43.png
Attachment 21: Capture_Grafana_3.PNG
Capture_Grafana_3.PNG
Attachment 22: Screenshot_from_2024-04-28_12-00-49.png
Screenshot_from_2024-04-28_12-00-49.png
Attachment 23: Screenshot_2024-04-28_at_12-01-21_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-04-28_at_12-01-21_Temperature_and_status_scan_aidas-gsi.png
Attachment 24: Screenshot_2024-04-28_at_12-02-58_Statistics_aidas-gsi.png
Screenshot_2024-04-28_at_12-02-58_Statistics_aidas-gsi.png
Attachment 25: Screenshot_2024-04-28_at_12-02-36_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-04-28_at_12-02-36_Spectrum_Browser_aidas-gsi.png
Attachment 26: Screenshot_2024-04-28_at_11-59-21_AIDA_-_Grafana.png
Screenshot_2024-04-28_at_11-59-21_AIDA_-_Grafana.png
Attachment 27: Screenshot_2024-04-28_at_11-59-44_AIDA_Alerting_-_Grafana.png
Screenshot_2024-04-28_at_11-59-44_AIDA_Alerting_-_Grafana.png
Attachment 28: Screenshot_2024-04-28_at_12-00-08_ucesb.png
Screenshot_2024-04-28_at_12-00-08_ucesb.png
Attachment 29: Screenshot_2024-04-28_at_14-03-06_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-04-28_at_14-03-06_Temperature_and_status_scan_aidas-gsi.png
Attachment 30: Screenshot_2024-04-28_at_14-04-21_Statistics_aidas-gsi.png
Screenshot_2024-04-28_at_14-04-21_Statistics_aidas-gsi.png
Attachment 31: Screenshot_2024-04-28_at_14-04-07_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-04-28_at_14-04-07_Spectrum_Browser_aidas-gsi.png
Attachment 32: Screenshot_2024-04-28_at_14-01-38_AIDA_-_Grafana.png
Screenshot_2024-04-28_at_14-01-38_AIDA_-_Grafana.png
Attachment 33: Screenshot_2024-04-28_at_14-01-52_AIDA_Alerting_-_Grafana.png
Screenshot_2024-04-28_at_14-01-52_AIDA_Alerting_-_Grafana.png
Attachment 34: Screenshot_2024-04-28_at_14-02-02_ucesb.png
Screenshot_2024-04-28_at_14-02-02_ucesb.png
Attachment 35: Screenshot_from_2024-04-28_14-02-43.png
Screenshot_from_2024-04-28_14-02-43.png
Attachment 36: R21_500.txt
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  259329603 ( 2382071.3 Hz)
        Other data format:    2590397 (   23794.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1783 (      16.4 Hz)
                               RESUME:       1783 (      16.4 Hz)
                              SYNC100:      32405 (     297.7 Hz)
                              WR48-63:      32405 (     297.7 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    2522021 (   23166.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     289080 (    2655.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      108.867 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                1.124                0.000
  1                7.512                0.000
  2                0.941                0.000
  3               17.003                0.000
  4                0.495                0.000
  5                6.767                0.000
  6                0.004                0.000
  7                7.595                0.000
  8                0.000                0.000
  9                0.006                0.000
 10               24.536                0.000
 11                0.145                0.000
 12                0.001                0.000
 13                0.000                0.000
 14                0.322                0.000
 15                8.584                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   22169110       8971          0          0         57         57       2822       2822          0       3213          0      16255
  1   12639517     112315          0          0         99         99       1612       1612          0     108893          0      29028
  2   22281064    1127033          0          0         37         37       2985       2985          0    1120989          0      11197
  3   20798124     911187          0          0        135        135       2715       2715          0     905487          0       8456
  4    9363935     176936          0          0         14         14       1205       1205          0     174498          0      11991
  5   27019969     216459          0          0        325        325       3434       3434          0     208941          0       9910
  6    6076361       1558          0          0          2          2        777        777          0          0          0       3470
  7   35565405       8998          0          0        189        189       4310       4310          0          0          0     153479
  8    1477425        362          0          0          0          0        181        181          0          0          0       6096
  9    6250272       1506          0          0          2          2        751        751          0          0          0       2572
 10   27290515       8024          0          0        662        662       3350       3350          0          0          0        840
 11   10865846       2620          0          0         16         16       1294       1294          0          0          0      22001
 12    3883231        948          0          0          2          2        472        472          0          0          0       3485
 13    3455565        826          0          0          0          0        413        413          0          0          0       4071
 14   11216035       2794          0          0         51         51       1346       1346          0          0          0       2348
 15   38977229       9860          0          0        192        192       4738       4738          0          0          0       3881
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   38.605s (  828.898 blocks/s,  51.806 Mb/s)
  614   Sat Apr 27 23:04:42 2024 Marc00:00-08:00 Sunday 28 April

Encore un matin, un matin pour ..... a shift !!!ramping up again ! S4 temperature not really going down either: 25C

0:40 AIDA04 is down. I informed the DAQ shift crew and attempting to restart AIDA04.

After rebooting AIDA04 all seemed going until I reached the merger check. No data was being merged.

I realise then that AIDA01 data transfer was not active. I did not spot it when I enabled the data transfer for all.

I stopped the daq and rebooted AIDA01 following all the instructions in the ELOG and this worked.

We are now back in business with AIDA back in main DAQ at  01:40

01:45 full checks:

           Bias: AIDA-Graphana - Attachment 1

               Leakage current ramping up again ! S4 temperaturenot really going down either: 25C

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3

      per FEE64 Rate spectra - attachment 4

      Merger etc - attachment 5

      ucesb - attachment 6

03:00 full checks

          No change to be highlighted. All pretty much the same as during previous full checks.

05:00 full checks

Expt still running very smoothly.

           Bias: AIDA-Graphana - Attachment 7-8

               Leakage current going down slightly

      FEE64 temperatures OK - attachment 9

      ADC data item stats - attachment 10
ramping up again ! S4 temperaturenot really going down either: 25C
      per FEE64 Rate spectra - attachment 11

      Merger etc - attachment 12

      ucesb - attachment 13

07:15 all running smoothly.

07:20 Spoke too fast AIDA DAQ is down. DAQ shift crew informed.

07:40: AIDA10 was rebooted successfully and AIDA is now back in the main DAQ.

 

Attachment 1: Screenshot_from_2024-04-28_01-51-37.png
Screenshot_from_2024-04-28_01-51-37.png
Attachment 2: Screenshot_from_2024-04-28_01-53-29.png
Screenshot_from_2024-04-28_01-53-29.png
Attachment 3: Screenshot_from_2024-04-28_01-53-52.png
Screenshot_from_2024-04-28_01-53-52.png
Attachment 4: Screenshot_from_2024-04-28_01-54-16.png
Screenshot_from_2024-04-28_01-54-16.png
Attachment 5: Screenshot_from_2024-04-28_01-56-14.png
Screenshot_from_2024-04-28_01-56-14.png
Attachment 6: Screenshot_from_2024-04-28_04-59-46.png
Screenshot_from_2024-04-28_04-59-46.png
Attachment 7: Screenshot_from_2024-04-28_04-59-51.png
Screenshot_from_2024-04-28_04-59-51.png
Attachment 8: Screenshot_from_2024-04-28_05-00-33.png
Screenshot_from_2024-04-28_05-00-33.png
Attachment 9: Screenshot_from_2024-04-28_05-00-25.png
Screenshot_from_2024-04-28_05-00-25.png
Attachment 10: Screenshot_from_2024-04-28_05-00-38.png
Screenshot_from_2024-04-28_05-00-38.png
Attachment 11: Screenshot_from_2024-04-28_05-02-44.png
Screenshot_from_2024-04-28_05-02-44.png
Attachment 12: Screenshot_from_2024-04-28_05-00-03.png
Screenshot_from_2024-04-28_05-00-03.png
  613   Sat Apr 27 15:13:06 2024 Norah16:00 - 00:00 Saturday 27 April

14:50 Checks

Leakage current  is 20.021 and HV status is Max Voltages ! Is it normal ? - attachments 1

It is quite warm at GSI today and this may be a temperature effect. Hopefully will go down latter in the day (Marc). Any way let's kep an eye it. The current threshold is set to 30uA.

18:15 Checks

Everything appears to be going smoothly 

Grafana - DSSSD bias  and leakage current - attachments 2 and 3

FEE64 temperatures  - It appears okay, nothing strange , attachment 4

ADC data item stats - attachment 5

Per FEE64 Rate spectra - attachment 6

 ucesb - attachment 7

Merger Link Data Rates  - attachment 8

 

18:16

The temperature for aida07 gave us No response - attachment 9 , I had emailed Tom to fix.

18:20  it came back to work normally

............................

20:00 Checks

Nothing new to report. All is well.

20:33 Aida02 showed as not reading - attachment 10

20:34 it resumed reading and returned to normal operation.

21:08 Aida02 was  not reading - attachment 11 , had to emailed Tom to fix it .

The shift crew have restarted ucesb and AIDA  is now showing OK - attachment 12

so Tom guess the problem really was at their end . They may still have a problem with the FRS DAQ.

ADC data item stats and Merger etc  appear to be going smoothly - attachments 13 and 14

...........................................

22:00 Checks

Still running smoothly.

DSSD bias and  leakage current - ok

FEE64 temperatures - ok

Per FEE64 Rate spectra - ok

ADC data item stats - ok

Ucesb - ok

.................................

23:00 Checks

 Nothing new to report. Everything is going well , and I have captured screenshots of each one

Leakage currents dropped a bit See attachment 15

.............................

23:50 Checks

All seems smooth.

Attachment 1: Screenshot_from_2024-04-27_16-54-13.png
Screenshot_from_2024-04-27_16-54-13.png
Attachment 2: Screenshot_from_2024-04-27_18-15-47.png
Screenshot_from_2024-04-27_18-15-47.png
Attachment 3: Screenshot_from_2024-04-27_18-09-13.png
Screenshot_from_2024-04-27_18-09-13.png
Attachment 4: Screenshot_from_2024-04-27_18-07-50.png
Screenshot_from_2024-04-27_18-07-50.png
Attachment 5: Screenshot_from_2024-04-27_18-06-46.png
Screenshot_from_2024-04-27_18-06-46.png
Attachment 6: Screenshot_from_2024-04-27_18-07-19.png
Screenshot_from_2024-04-27_18-07-19.png
Attachment 7: Screenshot_from_2024-04-27_18-08-53.png
Screenshot_from_2024-04-27_18-08-53.png
Attachment 8: Screenshot_from_2024-04-27_18-09-57.png
Screenshot_from_2024-04-27_18-09-57.png
Attachment 9: Screenshot_from_2024-04-27_19-18-03.png
Screenshot_from_2024-04-27_19-18-03.png
Attachment 10: Screenshot_from_2024-04-27_20-33-01.png
Screenshot_from_2024-04-27_20-33-01.png
Attachment 11: Screenshot_from_2024-04-27_20-52-28.png
Screenshot_from_2024-04-27_20-52-28.png
Attachment 12: Screenshot_from_2024-04-27_21-22-51.png
Screenshot_from_2024-04-27_21-22-51.png
Attachment 13: Screenshot_from_2024-04-27_21-44-02.png
Screenshot_from_2024-04-27_21-44-02.png
Attachment 14: Screenshot_from_2024-04-27_21-45-02.png
Screenshot_from_2024-04-27_21-45-02.png
Attachment 15: Screenshot_from_2024-04-27_23-26-06.png
Screenshot_from_2024-04-27_23-26-06.png
  612   Sat Apr 27 04:59:31 2024 TD08:00-16:00 Saturday 27 April
06.00 DAQ continues file S100_alpha/R20_740
      FRS setting 162Eu

      DSSSD bias & leakage current - Grafana - attachments 1-2
       leakage currents tracking S4 ambient temperature

      FEE64 temperatures OK - attachment 3

      All system wide checks OK *except* 
       as reported - attachments 4-5
       *all* ADCs not calibrated (not calibrated post-synchronise ASIC clocks at most recent restart)

      WR timestamps OK - attachment 6

      ADC, PAUSE, RESUME, Correlation scaler data item stats OK - attachments 7-10

      per FEE64 Rate spectra - attachment 11

      per p+n FEE64 1.8.L specra - attachments 12-13
       aida09 pulser peak width 55 ch FWHM (~38keV FWHM)

      per FEE64 1.8.H spectra - attachments 14-15

      Merger, TapeServer etc - attachments 16-17
       12Mb/s to disk

      ucesb - attachment 18
       spill length 3.5s, extraction 1.5s

06.30 analysis data file R20_748 - attachment 19
      max deadtime 12%
      no timewarps
      HEC data item rate 2.1kHz

06.35 new run file S100_alpha/R21

09.30 DAQ continues - file S100_alpha/R21_83

      DSSSD bias & leakage currents - Grafana - attachment 20

      FEE64 temperatures OK - attachment 21

      ADC data item stats OK - attachments 22

      per FEE64 Rate spectra - attachment 23

      Merger etc - attachments 24

      ucesb - attachment 25
       spill length 3.5s, extraction 1.5s

09.50 per FEE64 1.8.W spectra - 20us FSR - attachments 26-27
       no significant change in electronic noise cf. start of run

      per p+n FEE64 1.8.L spectra - attachment 28
       aida09 pulser peak width 62 ch FWHM
       common x/y scale - peak heoght proxy for width


13.57 DAQ continues file R21_141

      DSSSD bias & leakage current - Grafana - attachments 29-30

      FEE64 temperatures OK - attachment 31

      ADC data item stats OK - attachments 32

      per p+n FEE64 1.8.L spectra - attachment 33
       aida09 pulser peak width 55 ch FWHM (~39keV FWHM)

      per FEE64 Rate spectra - attachment 34

      Merger etc - attachments 35

      ucesb - attachment 36
       spill length 3.5s, extraction 1.5s

14.15 analysis data file R21_144 - attachment 35
      max deadtime 16% (aida04)
      no timewarps
      HEC data item rate 2.0kHz
Attachment 1: Screenshot_from_2024-04-27_06-00-39.png
Screenshot_from_2024-04-27_06-00-39.png
Attachment 2: Screenshot_from_2024-04-27_06-01-02.png
Screenshot_from_2024-04-27_06-01-02.png
Attachment 3: Screenshot_from_2024-04-27_06-01-38.png
Screenshot_from_2024-04-27_06-01-38.png
Attachment 4: Screenshot_from_2024-04-27_06-02-22.png
Screenshot_from_2024-04-27_06-02-22.png
Attachment 5: Screenshot_from_2024-04-27_06-02-46.png
Screenshot_from_2024-04-27_06-02-46.png
Attachment 6: Screenshot_from_2024-04-27_06-03-00.png
Screenshot_from_2024-04-27_06-03-00.png
Attachment 7: Screenshot_from_2024-04-27_06-03-32.png
Screenshot_from_2024-04-27_06-03-32.png
Attachment 8: Screenshot_from_2024-04-27_06-04-34.png
Screenshot_from_2024-04-27_06-04-34.png
Attachment 9: Screenshot_from_2024-04-27_06-05-03.png
Screenshot_from_2024-04-27_06-05-03.png
Attachment 10: Screenshot_from_2024-04-27_06-05-37.png
Screenshot_from_2024-04-27_06-05-37.png
Attachment 11: Screenshot_from_2024-04-27_06-06-17.png
Screenshot_from_2024-04-27_06-06-17.png
Attachment 12: Screenshot_from_2024-04-27_06-07-30.png
Screenshot_from_2024-04-27_06-07-30.png
Attachment 13: Screenshot_from_2024-04-27_06-08-12.png
Screenshot_from_2024-04-27_06-08-12.png
Attachment 14: Screenshot_from_2024-04-27_06-11-26.png
Screenshot_from_2024-04-27_06-11-26.png
Attachment 15: Screenshot_from_2024-04-27_06-12-32.png
Screenshot_from_2024-04-27_06-12-32.png
Attachment 16: Screenshot_from_2024-04-27_06-13-07.png
Screenshot_from_2024-04-27_06-13-07.png
Attachment 17: Screenshot_from_2024-04-27_06-13-53.png
Screenshot_from_2024-04-27_06-13-53.png
Attachment 18: Screenshot_from_2024-04-27_06-14-37.png
Screenshot_from_2024-04-27_06-14-37.png
Attachment 19: R20_748
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257504742 ( 1406851.0 Hz)
        Other data format:    4415258 (   24122.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1610 (       8.8 Hz)
                               RESUME:       1611 (       8.8 Hz)
                              SYNC100:      32682 (     178.6 Hz)
                              WR48-63:      32682 (     178.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4346673 (   23747.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     386233 (    2110.1 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      183.036 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.284                0.000
  1               10.840                0.000
  2                0.188                0.000
  3               23.217                0.000
  4                0.100                0.000
  5                2.070                0.000
  6                0.000                0.000
  7                2.151                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                8.754                0.000
 11                0.009                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.015                0.000
 15                2.919                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   12463516       8663          0          0         35         35       1556       1556          0       5481          0      27718
  1   18658776     198614          0          0        164        164       2390       2390          0     193506          0      51705
  2   15562218    1904264          0          0         13         13       2088       2088          0    1900062          0      19441
  3   28663274    1575353          0          0        203        204       3714       3714          0    1567518          0      14524
  4    7649540     297936          0          0          9          9        969        969          0     295980          0      20940
  5   22941092     390586          0          0        220        220       3010       3010          0     384126          0      18537
  6    5869094       1360          0          0          0          0        680        680          0          0          0       5932
  7   40428040      10412          0          0        122        122       5084       5084          0          0          0     148981
  8    2103382        490          0          0          0          0        245        245          0          0          0      10601
  9    5530471       1492          0          0          0          0        746        746          0          0          0       4423
 10   31486867       9242          0          0        711        711       3910       3910          0          0          0       1813
 11    6680681       1722          0          0          2          2        859        859          0          0          0      37346
 12    4842246       1236          0          0          0          0        618        618          0          0          0       5812
 13    3504495        918          0          0          0          0        459        459          0          0          0       6910
 14    6454647       1674          0          0          3          3        834        834          0          0          0       4246
 15   44666403      11296          0          0        128        128       5520       5520          0          0          0       7304
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   38.639s (  828.186 blocks/s,  51.762 Mb/s)
Attachment 20: Capture.PNG
Capture.PNG
Attachment 21: Screenshot_from_2024-04-27_09-32-29.png
Screenshot_from_2024-04-27_09-32-29.png
Attachment 22: Screenshot_from_2024-04-27_09-33-31.png
Screenshot_from_2024-04-27_09-33-31.png
Attachment 23: Screenshot_from_2024-04-27_09-34-20.png
Screenshot_from_2024-04-27_09-34-20.png
Attachment 24: Screenshot_from_2024-04-27_09-34-58.png
Screenshot_from_2024-04-27_09-34-58.png
Attachment 25: Screenshot_from_2024-04-27_09-35-41.png
Screenshot_from_2024-04-27_09-35-41.png
Attachment 26: Screenshot_from_2024-04-27_09-47-09.png
Screenshot_from_2024-04-27_09-47-09.png
Attachment 27: Screenshot_from_2024-04-27_09-48-06.png
Screenshot_from_2024-04-27_09-48-06.png
Attachment 28: Screenshot_from_2024-04-27_09-52-52.png
Screenshot_from_2024-04-27_09-52-52.png
Attachment 29: Screenshot_from_2024-04-27_13-57-44.png
Screenshot_from_2024-04-27_13-57-44.png
Attachment 30: Screenshot_from_2024-04-27_13-58-01.png
Screenshot_from_2024-04-27_13-58-01.png
Attachment 31: Screenshot_from_2024-04-27_14-01-26.png
Screenshot_from_2024-04-27_14-01-26.png
Attachment 32: Screenshot_from_2024-04-27_13-58-55.png
Screenshot_from_2024-04-27_13-58-55.png
Attachment 33: Screenshot_from_2024-04-27_14-03-49.png
Screenshot_from_2024-04-27_14-03-49.png
Attachment 34: Screenshot_from_2024-04-27_14-04-30.png
Screenshot_from_2024-04-27_14-04-30.png
Attachment 35: Screenshot_from_2024-04-27_14-05-30.png
Screenshot_from_2024-04-27_14-05-30.png
Attachment 36: Screenshot_from_2024-04-27_14-06-42.png
Screenshot_from_2024-04-27_14-06-42.png
Attachment 37: R21_144
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  256251858 ( 1109842.9 Hz)
        Other data format:    5668143 (   24549.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1144 (       5.0 Hz)
                               RESUME:       1144 (       5.0 Hz)
                              SYNC100:      32860 (     142.3 Hz)
                              WR48-63:      32860 (     142.3 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    5600135 (   24254.5 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     452307 (    1959.0 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      230.890 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.168                0.000
  1               16.872                0.000
  2                0.114                0.000
  3               36.051                0.000
  4                0.888                0.000
  5                2.369                0.000
  6                0.000                0.000
  7                1.443                0.000
  8                0.006                0.000
  9                0.000                0.000
 10                1.304                0.000
 11                0.023                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.033                0.000
 15                1.208                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    7949000       9111          0          0         19         19       1076       1076          0       6921          0      39332
  1   26808065     269780          0          0        235        235       3356       3356          0     262598          0      70729
  2   11614466    2448126          0          0         13         13       1817       1817          0    2444466          0      27115
  3   37929923    1952070          0          0        294        294       4896       4896          0    1941690          0      19677
  4    8915163     414541          0          0         12         12       1163       1163          0     412191          0      27553
  5   22729514     538305          0          0        114        114       2904       2904          0     532269          0      25872
  6    5822184       1488          0          0          0          0        744        744          0          0          0       8358
  7   40443466      10506          0          0         99         99       5154       5154          0          0          0     123022
  8    3043811        744          0          0          1          1        371        371          0          0          0      14593
  9    5157550       1312          0          0          0          0        656        656          0          0          0       6148
 10   26044004       6988          0          0        273        273       3221       3221          0          0          0       2629
 11    5888316       1514          0          0          4          4        753        753          0          0          0      52905
 12    5831030       1426          0          0          0          0        713        713          0          0          0       8360
 13    4114996        986          0          0          0          0        493        493          0          0          0       9929
 14    5361222       1380          0          0          5          5        685        685          0          0          0       5844
 15   38599148       9866          0          0         75         75       4858       4858          0          0          0      10241
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   33.879s (  944.541 blocks/s,  59.034 Mb/s)
  611   Fri Apr 26 23:04:51 2024 Marc00:00-08:00 Saturday 27 April

It's a new day, it's a new shift and I'm feeeeeliiiiing goooood !!!!!

0.15 Checks:
      DSSSD bias & leakage current - Grafana - attachments 1-2
       leakage current dropped a bit since the evening shift ~22:00.

      FEE64 temperatures OK - attachment 3

      ADC data item stats - attachment 4

      per FEE64 Rate spectra - attachment 5

      Merger etc - attachment 6

      ucesb - attachment 7

2:20 Nothing new to report. All good. Leakage currents continue to go down slowly. See attachement 8 to 12

3:30 Still running smoothly. 

5:20 AIDA 4 stop counting in the last 20 min. I informed the main DAQ and they started a new run without AIDA.

        DAQ restarted OK. Main DAQ informed.

 

Attachment 1: Screenshot_from_2024-04-27_00-17-00.png
Screenshot_from_2024-04-27_00-17-00.png
Attachment 2: Screenshot_from_2024-04-27_00-16-16.png
Screenshot_from_2024-04-27_00-16-16.png
Attachment 3: Screenshot_from_2024-04-27_00-18-49.png
Screenshot_from_2024-04-27_00-18-49.png
Attachment 4: Screenshot_from_2024-04-27_00-19-05.png
Screenshot_from_2024-04-27_00-19-05.png
Attachment 5: Screenshot_from_2024-04-27_00-19-19.png
Screenshot_from_2024-04-27_00-19-19.png
Attachment 6: Screenshot_from_2024-04-27_00-21-44.png
Screenshot_from_2024-04-27_00-21-44.png
Attachment 7: Screenshot_from_2024-04-27_00-21-00.png
Screenshot_from_2024-04-27_00-21-00.png
Attachment 8: Screenshot_from_2024-04-27_02-32-22.png
Screenshot_from_2024-04-27_02-32-22.png
Attachment 9: Screenshot_from_2024-04-27_02-37-20.png
Screenshot_from_2024-04-27_02-37-20.png
Attachment 10: Screenshot_from_2024-04-27_02-37-31.png
Screenshot_from_2024-04-27_02-37-31.png
Attachment 11: Screenshot_from_2024-04-27_02-40-39.png
Screenshot_from_2024-04-27_02-40-39.png
Attachment 12: Screenshot_from_2024-04-27_02-41-13.png
Screenshot_from_2024-04-27_02-41-13.png
  610   Fri Apr 26 15:34:00 2024 RDP16:00 - 00:00 Friday 26 April

This appeared in the system log:

Apr 26 16:30:51 aidas-gsi smartd[1076]: Device: /dev/sda [SAT], 1 Currently unreadable (pending) sectors
Apr 26 16:30:56 aidas-gsi smartd[1076]: Device: /dev/sdd [SAT], 2224 Currently unreadable (pending) sectors
Apr 26 16:30:56 aidas-gsi smartd[1076]: Device: /dev/sdd [SAT], 257 Offline uncorrectable sectors

These messages seem to appear sporadically.

18:10 Link 3 is giving rates of zero (see attachment 3). Is this normal?

18:15 daq error on ucesb (attachment 4). Zero rate through event builder. Emailed Nic & can see he's now on Zoom.

aida07 rebooted itself, but WR out of sequence still
manually reboot aida07, still out of sequence
do full powercycle of aida... now all FEEs are in sequence again. Resume running to disk and alert DESPEC shifters

Thanks Nic!

22:14 AIDA DSSD 2 HV status = 99 on grafana (attachment 6)

22:43 Noticed that aida02 ASIC temperature reading is now 0.00 instead of 511(!) that it was previously - see attachment 2 in #608. It was similarly high earlier in this shift too.

 

Attachment 1: Screenshot_2024-04-26_at_16-40-03_ucesb.png
Screenshot_2024-04-26_at_16-40-03_ucesb.png
Attachment 2: Screenshot_2024-04-26_at_16-41-57_AIDA_-_Grafana.png
Screenshot_2024-04-26_at_16-41-57_AIDA_-_Grafana.png
Attachment 3: Screenshot_2024-04-26_at_19-07-21_Merger_Input_Data_Link_Rates_aidas-gsi.png
Screenshot_2024-04-26_at_19-07-21_Merger_Input_Data_Link_Rates_aidas-gsi.png
Attachment 4: Screenshot_2024-04-26_at_19-18-28_ucesb.png
Screenshot_2024-04-26_at_19-18-28_ucesb.png
Attachment 5: Screenshot_2024-04-26_at_22-12-06_ucesb.png
Screenshot_2024-04-26_at_22-12-06_ucesb.png
Attachment 6: Screenshot_2024-04-26_at_22-11-47_AIDA_-_Grafana.png
Screenshot_2024-04-26_at_22-11-47_AIDA_-_Grafana.png
  609   Fri Apr 26 08:15:59 2024 TD[How To] Recover DAQ when one FEE64 stops sending data
Occasionally, one (sometimes more) FEE64(s) will stop producing data whilst the DAQ is running *and* data is being transferred to the Merger and TapeServer.

Typical symptoms are:

- no data in Rate spectrum [workspace 2, display 1, browser tab 'Spectrum Browser']
- zero rate in 'ADC data item' statistics [workspace 2, display 1, browser tab 'Statistics']
- Merger data link inactive [workspace 3, display 1, browser tab 'NewMerger Control']
   active data links are green, inactive links are red
   N.B. data links are numbered from 0 to n-1, FEE64s are numbered from 1 to n

0) Please infrom the 'on shift' crew that you need to restart the AIDA DAQ

1) STOP DAQ [workspace 2, display 1, browser tab 'Run Control']
   all FEE64s should change status from 'going' to 'stopped' *except* whichever FEE64(s) have a problem - typically their status is 'going' or 'error'

2) Restart Merger by selecting the Merger icon [workspace 3, display 2]
    terminal window showing the startup will popup

3) Setup Merger by selecting 'SETUP' [workspace 3, display 1, browser tab 'NewMerger Control']

4) Start Merger by selecting 'GO' [workspace 3, display 1, browser tab 'NewMerger Control']
     all data links will be inactive (red)

5) Reboot problem FEE64s
   Launch a command terminal and telnet to the problem FEE64 for example

    telnet aida04

   Enter user 'root' and enter password. When logged in reboot the FEE64 using the command

    reboot

6) Monitor boot using server system log [workspace 1, display 2, browser tab 'terminal']
    you should see two messages showing the FEE64 mounting filesystems
    wait c. three minutes for boot to complete

7) Restart DAQ

   Select DAQ status 'Update' [workspace 2, display 1, browser tab 'Run Control']
   Select DAQ status 'RESET' [workspace 2, display 1, browser tab 'Run Control']
   Select DAQ status 'SETUP' [workspace 2, display 1, browser tab 'Run Control']
   Disable histogramming by selecting 'Histogramming Disable all' [workspace 2, display 1, browser tab 'Run Control']
   Disable waveforms by selecting 'Enable Waveforms Disable all' [workspace 2, display 1, browser tab 'Run Control']
   Disable data transfer by selecting 'Data transfer Disable #1 all' [workspace 2, display 1, browser tab 'Run Control']

8) Restore ASIC settings

   Select 'Save/Restore Settings' [workspace 2, display 1, browser tab 'Control']
   Select the correct 'Data Base Key' [workspace 2, display 1, browser tab 'Module Settings']
   Select 'Restore Settings' [workspace 2, display 1, browser tab 'Module Settings']
   Wait 10s

9) Check ASIC settings

   Select 'Check ASIC Control' [workspace 2, display 1, browser tab 'ASIC Control']
   Wait for popup indicating completion of checks and dismiss

10) System wide checks

    Select 'Synchronise the ASIC clocks' [workspace 2, display 1, browser tab 'System Wide Checks']
    Select 'Collect all WR status error counters ...' [workspace 2, display 1, browser tab 'System Wide Checks']
    Select 'Collect all FPGA timestamp error counters ...' [workspace 2, display 1, browser tab 'System Wide Checks']

    Select 'Check clock status'  [workspace 2, display 1, browser tab 'System Wide Checks']
    Select 'Check WR decoder status' [workspace 2, display 1, browser tab 'System Wide Checks']
    Select 'Check FPGA timestamp errors' [workspace 2, display 1, browser tab 'System Wide Checks']
     make a note or take a screenshot of any error messages observed

11) Start DAQ

    Enable histogramming by selecting 'Histogramming Enable All' [workspace 2, display 1, browser tab 'Run Control']
    Enable waveforms by selecting 'Enable Waveforms Enable All' [workspace 2, display 1, browser tab 'Run Control']

    Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ is *actually* going - if not repeat 'GO'

12) Check WR timestamps by selecting 'Collect all timestamps' [workspace 2, display 1, browser tab 'GSI White Rabbit Control']
     readout times will be displayed for each FEE64
     check that the readout times are monotonically increasing as you read down the list of readout times - if not, contact expert support

13) Stop DAQ 

    Start DAQ by selecting 'STOP' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ has *actually* stopped - if not repeat 'STOP

14) Enable data transfer to Merger and TapeServer and start DAQ

    Enable data transfer by selecting 'Enable data transfer Enable #1 All' [workspace 2, display 1, browser tab 'Run Control']

    Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
     check that DAQ is *actually* going - if not repeat 'GO'

15) Check data is being transferred to Merger and TapeServer

    Select 'Reload' [workspace 3, display 1, browser tab 'NewMerger Control']
     you should see a non-zero 'current merged data rate' and all links should show as green at least some of the time (requires observation over several reloads)

    Select 'Reload' [workspace 3, display 1, browser tab 'TapeServer']
     you should see 'Blocks written' and 'kb written' counters increase

16) Check AIDA data is being forwarded from the TapeServer to MBS [workspace 3, display 2, command terminal]

17) Restart should now have been successfully completed. Please inform the 'on shift' crew' that AIDA is forwarding data.

    If not, please request expert assistance.








    








   

   




   
  608   Fri Apr 26 07:01:26 2024 Norah08:00 - 16:00 Friday 26 April

10:00 Checks:

All seems smooth.

DSSD bias and  leakage current ( Attachment 1)

FEE64 temperatures (Attachment 2)

Per FEE64 Rate spectra (Attachment 3)

ADC data item stats -(Attachment 4)

Ucesb (Attachment 5)

 

10:21

Aida05 was zero not reading had to call Nic to fix it.

10:34

Now, Aida05 is running well.

.......................................................

12:00 Checks:

Everything appears to be going smoothly, and I took screenshot of them.

 

12:28, Aida02 showed as not reading , had to email Nic to fix it.

12:30, it resumed reading and returned to normal operation.

.........................................................

14:00 Checks:

Everything is going well , and I have captured screenshots of each one.

......................................................

16:00 Checks:

All seems smooth, and I took screenshot of them.

 

 

 

 

 

Attachment 1: Screenshot_from_2024-04-26_10-00-39.png
Screenshot_from_2024-04-26_10-00-39.png
Attachment 2: Screenshot_from_2024-04-26_10-02-35.png
Screenshot_from_2024-04-26_10-02-35.png
Attachment 3: Screenshot_from_2024-04-26_10-04-40.png
Screenshot_from_2024-04-26_10-04-40.png
Attachment 4: Screenshot_from_2024-04-26_10-06-18.png
Screenshot_from_2024-04-26_10-06-18.png
Attachment 5: Screenshot_from_2024-04-26_10-09-52.png
Screenshot_from_2024-04-26_10-09-52.png
  607   Thu Apr 25 22:45:30 2024 Tom, Muneerah, NorahOver night shift AIDA 26 Fri

 

01:02

Aida04 was zero not reading had to call Tom to fix it.

02:00 checked all good and attached.

Its been running good after that till 7:30 again the same problem happened and Tom fixed it.

 

 

Attachment 1: Screenshot_from_2024-04-25_23-42-15.png
Screenshot_from_2024-04-25_23-42-15.png
Attachment 2: Screenshot_from_2024-04-26_00-05-47.png
Screenshot_from_2024-04-26_00-05-47.png
Attachment 3: Screenshot_from_2024-04-26_00-05-15.png
Screenshot_from_2024-04-26_00-05-15.png
Attachment 4: Screenshot_from_2024-04-26_00-04-22.png
Screenshot_from_2024-04-26_00-04-22.png
Attachment 5: Screenshot_from_2024-04-26_02-00-17.png
Screenshot_from_2024-04-26_02-00-17.png
Attachment 6: Screenshot_from_2024-04-26_01-58-39.png
Screenshot_from_2024-04-26_01-58-39.png
Attachment 7: Screenshot_from_2024-04-26_01-58-39.png
Screenshot_from_2024-04-26_01-58-39.png
Attachment 8: Screenshot_from_2024-04-26_01-57-38.png
Screenshot_from_2024-04-26_01-57-38.png
Attachment 9: Screenshot_from_2024-04-26_01-57-01.png
Screenshot_from_2024-04-26_01-57-01.png
  606   Thu Apr 25 15:02:50 2024 Marc16:00 - 0:00 Thursday 25 April
16:20: 
Spill length:4s (was 3.5s before) - Extraction time 1.5s (see attachement #1)
             

16.45 Checks:
      DSSSD bias & leakage current - Grafana - attachments 2
       leakage current ramping, spill micro structure observable

      FEE64 temperatures OK - attachment 3

      ADC data item stats - attachment 5

      per FEE64 Rate spectra - attachment 4

      Merger etc - attachment 6

      ucesb - attachment 7

 

XX.XX Checks

       DSSSD bias & leakage current - Grafana - attachments X

       FEE64 temperatures OK - attachment X

       ADC data item stats - attachment X

       per FEE64 Rate spectra - attachment X

       Merger etc - attachment X

       ucesb - attachment X

Attachment 1: Screenshot_from_2024-04-25_16-19-32.png
Screenshot_from_2024-04-25_16-19-32.png
Attachment 2: Screenshot_from_2024-04-25_16-45-19.png
Screenshot_from_2024-04-25_16-45-19.png
Attachment 3: Screenshot_from_2024-04-25_16-46-12.png
Screenshot_from_2024-04-25_16-46-12.png
Attachment 4: Screenshot_from_2024-04-25_16-46-41.png
Screenshot_from_2024-04-25_16-46-41.png
Attachment 5: Screenshot_from_2024-04-25_16-47-00.png
Screenshot_from_2024-04-25_16-47-00.png
Attachment 6: Screenshot_from_2024-04-25_16-52-18.png
Screenshot_from_2024-04-25_16-52-18.png
Attachment 7: Screenshot_from_2024-04-25_16-52-50.png
Screenshot_from_2024-04-25_16-52-50.png
Attachment 8: Screenshot_from_2024-04-25_17-23-36.png
Screenshot_from_2024-04-25_17-23-36.png
  605   Thu Apr 25 08:26:50 2024 TD08:00-16:00 Thursday 25 April
09.56 DAQ continues data file S100_alpha/R18_387
      FRS switching settings

      DSSSD bias & leakage current OK - attachments 1

      FEE64 temperatures OK  - attachment 2
       aida02 ASIC temp - known fault

      All system wide checks OK *except* as shown - attachments 3-5

      WR timestamps OK - attachment 6

      ADC, PAUSE, RESUME & Correlation Scaler data time stats - attachments 7-10

      per FEE64 Rate spectra - attachments 11

      per p+n FEE64 1.8.L spectra - attachments 12-13
       aida09 pulser peak width 57 ch FWHM 
       common x/y scale => peak height proxy for width => all p+n FEE64s similar pulser peak widths

      per n+n FEE64 1.8.L spectra - attachment 14

      per FEE64 1.8.H spectra - attachments 15-16

      per FEE64 1.8.W spectra - 20us FSR - attachments 17-18
       only aida08 & aida09 currently updating - ASIC check load and/or DAQ STOP/GO required?

      Merger, TapeServer etc - attachments 19-21

      BNC PB-5 settings - attachment 22

10.07 data file S100_alpha/R20
      new run for new FRS setting

10.08 all histograms, stats, merger stats and data link stats zero'd

10.10 ADC data item stats (beam OFF) - attachment 23
      11/16 < 20k, max 129k (aida08)

10.31 analysis of data file R18_349 (closed 06.00 this morning)
      max deadtime 12% (aida04)
      no timewarps
      HEC data item rate 1.7kHz

11.00 tuning 168dy FRS setting
      ucesb - attachment 24
      spill length 3.5s, extraction time 1.5s

11.30 degraders now set to implant in AIDA DSSSDs
      high rates expected 

      per FEE64 Rate spectra - attachment 25

      ADC data item stats - attachment 26

      Merger etc - attachment 27
      in spill rates to MBS up 30+Mb/s, 2.5M data item/s merged

      DSSSD bias & leakage current - attachment 28
       c. few hundred nA step in leakage current 

      analysis of data file R20_33 - attachment 29
      max deadtime 18% (aida08)
      no timewarps
      HEC data item rate 4.1kHz (c. 2.4x previous FRS setting)

      Correcting for spill cycle => 5.9kHz in spill (online analysis shows no HEC events observed outside spill)
      assume typical HEC event multiplicity = 3 => implant rate ~ 2.0kHz in spill or 6800 implants per spill
      SC42 L/R currently report c. 4.5kHz

      Note that we currently see a higher fraction of events in DSSSD#2 cf. previous setting so multiplicity assumption may be low
      If we assume multipicity = 6 => implant rate ~ 1.0kHz in spill or 3200 implants per spill

      we are probably somewhere in between

12.50 DSSSD bias & leakage current - Grafana - attachment 30
       leakage current ramping, spill micro structure observable

      FEE64 temperatures OK - attachment 31

      ADC data item stats - attachment 32

      per FEE64 Rate spectra - attachment 33

      Merger etc - attachment 34

14.56 analysis of data file R20_106 - attachment 35
      max deadtime c. 18% (aida04 & aida08), c. 12% (aida03), c. 11% (aida06), c. 9% (aida02 & aida13), c. 6% (aida05), all others <2%
      no timewarps
      HEC data item rate 4.2kHz


15.40 DSSSD bias & leakage current - Grafana - attachments 36-37
       leakage current ramping, spill micro structure observable

      FEE64 temperatures OK - attachment 38

      ADC data item stats - attachment 39

      per FEE64 Rate spectra - attachment 40

      Merger etc - attachment 41

      ucesb - attachment 42

15.57 all histograms zero'd




      
Attachment 1: Screenshot_from_2024-04-25_09-27-20.png
Screenshot_from_2024-04-25_09-27-20.png
Attachment 2: Screenshot_from_2024-04-25_09-27-44.png
Screenshot_from_2024-04-25_09-27-44.png
Attachment 3: Screenshot_from_2024-04-25_09-28-05.png
Screenshot_from_2024-04-25_09-28-05.png
Attachment 4: Screenshot_from_2024-04-25_09-28-16.png
Screenshot_from_2024-04-25_09-28-16.png
Attachment 5: Screenshot_from_2024-04-25_09-28-23.png
Screenshot_from_2024-04-25_09-28-23.png
Attachment 6: Screenshot_from_2024-04-25_09-29-04.png
Screenshot_from_2024-04-25_09-29-04.png
Attachment 7: Screenshot_from_2024-04-25_09-29-20.png
Screenshot_from_2024-04-25_09-29-20.png
Attachment 8: Screenshot_from_2024-04-25_09-29-46.png
Screenshot_from_2024-04-25_09-29-46.png
Attachment 9: Screenshot_from_2024-04-25_09-29-59.png
Screenshot_from_2024-04-25_09-29-59.png
Attachment 10: Screenshot_from_2024-04-25_09-30-15.png
Screenshot_from_2024-04-25_09-30-15.png
Attachment 11: Screenshot_from_2024-04-25_09-30-56.png
Screenshot_from_2024-04-25_09-30-56.png
Attachment 12: Screenshot_from_2024-04-25_09-31-55.png
Screenshot_from_2024-04-25_09-31-55.png
Attachment 13: Screenshot_from_2024-04-25_09-32-11.png
Screenshot_from_2024-04-25_09-32-11.png
Attachment 14: Screenshot_from_2024-04-25_09-33-15.png
Screenshot_from_2024-04-25_09-33-15.png
Attachment 15: Screenshot_from_2024-04-25_09-34-23.png
Screenshot_from_2024-04-25_09-34-23.png
Attachment 16: Screenshot_from_2024-04-25_09-34-52.png
Screenshot_from_2024-04-25_09-34-52.png
Attachment 17: Screenshot_from_2024-04-25_09-35-38.png
Screenshot_from_2024-04-25_09-35-38.png
Attachment 18: Screenshot_from_2024-04-25_09-36-14.png
Screenshot_from_2024-04-25_09-36-14.png
Attachment 19: Screenshot_from_2024-04-25_09-36-28.png
Screenshot_from_2024-04-25_09-36-28.png
Attachment 20: Screenshot_from_2024-04-25_09-37-02.png
Screenshot_from_2024-04-25_09-37-02.png
Attachment 21: Screenshot_from_2024-04-25_09-37-17.png
Screenshot_from_2024-04-25_09-37-17.png
Attachment 22: Screenshot_from_2024-04-25_10-02-31.png
Screenshot_from_2024-04-25_10-02-31.png
Attachment 23: R18_349
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  254055879 (  798496.4 Hz)
        Other data format:    7864121 (   24716.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        850 (       2.7 Hz)
                               RESUME:        851 (       2.7 Hz)
                              SYNC100:      33185 (     104.3 Hz)
                              WR48-63:      33185 (     104.3 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    7796050 (   24502.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     547807 (    1721.8 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      318.168 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.011                0.000
  1               15.547                0.000
  2                0.026                0.000
  3               39.441                0.000
  4                0.410                0.000
  5                0.954                0.000
  6                0.000                0.000
  7                0.601                0.000
  8                0.003                0.000
  9                0.000                0.000
 10                0.050                0.000
 11                0.014                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.017                0.000
 15                0.116                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    6649451      11230          0          0          3          3        839        839          0       9546          0      49433
  1   33550123     378474          0          0        245        245       4373       4373          0     369238          0     100748
  2    7942952    3356106          0          0          5          5       1429       1429          0    3353238          0      35304
  3   50066846    2782463          0          0        379        380       6547       6547          0    2768610          0      28219
  4   11634489     554162          0          0         19         19       1542       1542          0     551040          0      47658
  5   18478696     749338          0          0         77         77       2403       2403          0     744378          0      37331
  6    6034652       1500          0          0          0          0        750        750          0          0          0      11345
  7   41275133      10854          0          0         71         71       5356       5356          0          0          0      92779
  8    3808950        934          0          0          2          2        465        465          0          0          0      19548
  9    5816078       1448          0          0          0          0        724        724          0          0          0       8443
 10   16595017       4342          0          0         16         16       2155       2155          0          0          0       3675
 11    5732285       1360          0          0          2          2        678        678          0          0          0      65221
 12    7170732       1770          0          0          0          0        885        885          0          0          0      12515
 13    5117877       1350          0          0          0          0        675        675          0          0          0      13502
 14    5096608       1234          0          0          2          2        615        615          0          0          0       7339
 15   29085990       7556          0          0         29         29       3749       3749          0          0          0      14747
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   31.840s ( 1005.030 blocks/s,  62.814 Mb/s)
Attachment 24: Screenshot_from_2024-04-25_11-27-43.png
Screenshot_from_2024-04-25_11-27-43.png
Attachment 25: Screenshot_from_2024-04-25_11-39-59.png
Screenshot_from_2024-04-25_11-39-59.png
Attachment 26: Screenshot_from_2024-04-25_11-40-11.png
Screenshot_from_2024-04-25_11-40-11.png
Attachment 27: Screenshot_from_2024-04-25_11-40-28.png
Screenshot_from_2024-04-25_11-40-28.png
Attachment 28: Screenshot_from_2024-04-25_11-43-22.png
Screenshot_from_2024-04-25_11-43-22.png
Attachment 29: R20_33
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257467881 ( 1603138.0 Hz)
        Other data format:    4452119 (   27721.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1792 (      11.2 Hz)
                               RESUME:       1788 (      11.1 Hz)
                              SYNC100:      32598 (     203.0 Hz)
                              WR48-63:      32598 (     203.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4383343 (   27293.1 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     659812 (    4108.4 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      160.602 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                4.032                0.000
  1               14.271                0.000
  2               17.643                0.000
  3               26.583                0.000
  4               10.476                0.000
  5               15.929                0.000
  6                1.815                0.000
  7               29.387                0.000
  8                0.158                0.000
  9                0.036                0.000
 10                0.592                0.000
 11                0.531                0.000
 12               14.310                0.000
 13                0.165                0.000
 14                0.189                0.000
 15                0.995                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   14126134       8441          0          0         70         70       1791       1791          0       4719          0      78615
  1   16495785     288035          0          0        163        162       2094       2094          0     283522          0     105734
  2   19988207    1651337          0          0        161        161       2732       2732          0    1645551          0      49977
  3   24594867    1476087          0          0        215        214       3183       3183          0    1469292          0      26591
  4   15580694     468076          0          0        119        119       1941       1941          0     463956          0      27262
  5   22750595     522722          0          0        300        299       2910       2910          0     516303          0      62407
  6   11629769       3045          0          0         37         36       1486       1486          0          0          0      11991
  7   37179788       9710          0          0        273        273       4582       4582          0          0          0      86342
  8    7628214       1994          0          0          9          9        988        988          0          0          0       1179
  9    5725517       1418          0          0          5          5        704        704          0          0          0       1622
 10   13190745       3550          0          0         69         69       1706       1706          0          0          0         70
 11    9285908       2326          0          0         29         29       1134       1134          0          0          0      74999
 12   16713447       4700          0          0        252        252       2098       2098          0          0          0      21646
 13    6115493       1498          0          0         25         25        724        724          0          0          0      35370
 14    8494444       2072          0          0         11         11       1025       1025          0          0          0         92
 15   27968274       7108          0          0         54         54       3500       3500          0          0          0      75915
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   34.629s (  924.083 blocks/s,  57.755 Mb/s)
Attachment 30: Screenshot_from_2024-04-25_12-50-09.png
Screenshot_from_2024-04-25_12-50-09.png
Attachment 31: Screenshot_from_2024-04-25_12-50-16.png
Screenshot_from_2024-04-25_12-50-16.png
Attachment 32: Screenshot_from_2024-04-25_12-50-28.png
Screenshot_from_2024-04-25_12-50-28.png
Attachment 33: Screenshot_from_2024-04-25_12-50-35.png
Screenshot_from_2024-04-25_12-50-35.png
Attachment 34: Screenshot_from_2024-04-25_12-51-02.png
Screenshot_from_2024-04-25_12-51-02.png
Attachment 35: R20_106
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  257522900 ( 1655989.1 Hz)
        Other data format:    4397100 (   28275.3 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1842 (      11.8 Hz)
                               RESUME:       1844 (      11.9 Hz)
                              SYNC100:      32579 (     209.5 Hz)
                              WR48-63:      32579 (     209.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    4328256 (   27832.6 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     659185 (    4238.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      155.510 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                3.085                0.000
  1               13.568                0.000
  2               18.124                0.000
  3               27.697                0.000
  4                9.792                0.000
  5               16.474                0.000
  6                2.671                0.000
  7               28.543                0.000
  8                0.065                0.000
  9                0.015                0.000
 10                0.643                0.000
 11                0.597                0.000
 12               14.389                0.000
 13                0.083                0.000
 14                0.439                0.000
 15                1.481                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   13689199       8238          0          0         65         65       1771       1771          0       4566          0      77953
  1   16947527     287988          0          0        165        166       2170       2170          0     283317          0     104868
  2   20356284    1630731          0          0        174        175       2797       2797          0    1624788          0      51128
  3   23896908    1435934          0          0        213        214       3077       3077          0    1429353          0      26122
  4   15647459     464207          0          0        117        117       2008       2008          0     459957          0      27024
  5   22696175     532714          0          0        306        305       2914       2914          0     526275          0      64287
  6   12236208       3082          0          0         42         42       1499       1499          0          0          0      11994
  7   36272075       9624          0          0        259        259       4553       4553          0          0          0      84854
  8    7567267       1906          0          0          4          4        949        949          0          0          0       1286
  9    5993294       1442          0          0          3          3        718        718          0          0          0       1730
 10   13223300       3544          0          0         82         82       1690       1690          0          0          0         53
 11    9630981       2436          0          0         36         36       1182       1182          0          0          0      75223
 12   16792007       4646          0          0        270        270       2053       2053          0          0          0      21202
 13    6155506       1514          0          0         19         19        738        738          0          0          0      35333
 14    8544527       2006          0          0         19         19        984        984          0          0          0         68
 15   27874183       7088          0          0         68         68       3476       3476          0          0          0      76060
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.426s ( 1051.740 blocks/s,  65.734 Mb/s)
Attachment 36: Screenshot_from_2024-04-25_15-39-07.png
Screenshot_from_2024-04-25_15-39-07.png
Attachment 37: Screenshot_from_2024-04-25_15-39-14.png
Screenshot_from_2024-04-25_15-39-14.png
Attachment 38: Screenshot_from_2024-04-25_15-39-36.png
Screenshot_from_2024-04-25_15-39-36.png
Attachment 39: Screenshot_from_2024-04-25_15-39-53.png
Screenshot_from_2024-04-25_15-39-53.png
Attachment 40: Screenshot_from_2024-04-25_15-40-30.png
Screenshot_from_2024-04-25_15-40-30.png
Attachment 41: Screenshot_from_2024-04-25_15-40-48.png
Screenshot_from_2024-04-25_15-40-48.png
Attachment 42: Screenshot_from_2024-04-25_15-43-08.png
Screenshot_from_2024-04-25_15-43-08.png
  604   Wed Apr 24 21:39:51 2024 TD00:00-08:00 Thursday 25 April
22.43 24.4.24

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3

      per FEE64 rate spectra - attachments 4

      Merger etc - attachment 5

      analysis of data file R18_266 - attachment 6
       max dead time 12% (aida04)
       no timewarps
       HEC data item rate 1.7kHz

03.37 DSSSD bias & leakage current OK - attachments 7-8

      FEE64 temperatures OK - attachment 9

      ADC data item stats - attachment 10

      per FEE64 rate spectra - attachments 11

      Merger etc - attachment 12
Attachment 1: Screenshot_from_2024-04-24_22-43-23.png
Screenshot_from_2024-04-24_22-43-23.png
Attachment 2: Screenshot_from_2024-04-24_22-43-58.png
Screenshot_from_2024-04-24_22-43-58.png
Attachment 3: Screenshot_from_2024-04-24_22-44-50.png
Screenshot_from_2024-04-24_22-44-50.png
Attachment 4: Screenshot_from_2024-04-24_22-45-35.png
Screenshot_from_2024-04-24_22-45-35.png
Attachment 5: Screenshot_from_2024-04-24_22-46-21.png
Screenshot_from_2024-04-24_22-46-21.png
Attachment 6: R18_266
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  254416606 (  820824.6 Hz)
        Other data format:    7503396 (   24208.2 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        846 (       2.7 Hz)
                               RESUME:        846 (       2.7 Hz)
                              SYNC100:      33155 (     107.0 Hz)
                              WR48-63:      33155 (     107.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    7435394 (   23988.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     524404 (    1691.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      309.952 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.014                0.000
  1               16.009                0.000
  2                0.003                0.000
  3               37.388                0.000
  4                0.176                0.000
  5                0.544                0.000
  6                0.000                0.000
  7                0.784                0.000
  8                0.015                0.000
  9                0.000                0.000
 10                0.083                0.000
 11                0.004                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.017                0.000
 15                0.227                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    6529814      10932          0          0          4          4        812        812          0       9300          0      46302
  1   32238460     350302          0          0        231        231       4129       4129          0     341582          0      92776
  2    8209450    3208628          0          0          2          2       1421       1421          0    3205782          0      32990
  3   48353626    2676061          0          0        374        374       6369       6369          0    2662575          0      27015
  4   10911439     520528          0          0          9          9       1454       1454          0     517602          0      45169
  5   18550590     703657          0          0         64         64       2488       2488          0     698553          0      35506
  6    6051492       1578          0          0          0          0        789        789          0          0          0      10690
  7   41812278      11146          0          0         85         85       5488       5488          0          0          0      97961
  8    3594476        900          0          0          4          4        446        446          0          0          0      18281
  9    5838926       1490          0          0          0          0        745        745          0          0          0       8125
 10   18621964       4722          0          0         27         27       2334       2334          0          0          0       3637
 11    5447469       1386          0          0          1          1        692        692          0          0          0      60590
 12    7038926       1642          0          0          0          0        821        821          0          0          0      12101
 13    5011795       1240          0          0          0          0        620        620          0          0          0      12487
 14    5028304       1286          0          0          2          2        641        641          0          0          0       7019
 15   31177597       7898          0          0         43         43       3906       3906          0          0          0      13755
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   32.508s (  984.379 blocks/s,  61.524 Mb/s)
Attachment 7: Screenshot_from_2024-04-25_03-37-40.png
Screenshot_from_2024-04-25_03-37-40.png
Attachment 8: Screenshot_from_2024-04-25_03-38-57.png
Screenshot_from_2024-04-25_03-38-57.png
Attachment 9: Screenshot_from_2024-04-25_03-39-38.png
Screenshot_from_2024-04-25_03-39-38.png
Attachment 10: Screenshot_from_2024-04-25_03-40-20.png
Screenshot_from_2024-04-25_03-40-20.png
Attachment 11: Screenshot_from_2024-04-25_03-40-52.png
Screenshot_from_2024-04-25_03-40-52.png
Attachment 12: Screenshot_from_2024-04-25_03-41-31.png
Screenshot_from_2024-04-25_03-41-31.png
  603   Wed Apr 24 18:44:05 2024 PP19:30 checks

All seems smooth.

Screenshots attached.

Attachment 1: Screenshot_from_2024-04-24_19-34-58.png
Screenshot_from_2024-04-24_19-34-58.png
Attachment 2: Screenshot_from_2024-04-24_19-37-12.png
Screenshot_from_2024-04-24_19-37-12.png
Attachment 3: Screenshot_from_2024-04-24_19-40-36.png
Screenshot_from_2024-04-24_19-40-36.png
Attachment 4: Screenshot_from_2024-04-24_19-41-39.png
Screenshot_from_2024-04-24_19-41-39.png
Attachment 5: Screenshot_from_2024-04-24_19-42-20.png
Screenshot_from_2024-04-24_19-42-20.png
Attachment 6: Screenshot_from_2024-04-24_19-42-53.png
Screenshot_from_2024-04-24_19-42-53.png
Attachment 7: Screenshot_from_2024-04-24_19-43-21.png
Screenshot_from_2024-04-24_19-43-21.png
Attachment 8: Screenshot_from_2024-04-24_19-43-41.png
Screenshot_from_2024-04-24_19-43-41.png
  602   Wed Apr 24 15:37:59 2024 PP16:00-00:00 shift Wednesday 24 April

All seems OK.

Screenshots attached.

Attachment 1: Screenshot_from_2024-04-24_16-38-45.png
Screenshot_from_2024-04-24_16-38-45.png
Attachment 2: Screenshot_from_2024-04-24_16-39-09.png
Screenshot_from_2024-04-24_16-39-09.png
Attachment 3: Screenshot_from_2024-04-24_16-39-38.png
Screenshot_from_2024-04-24_16-39-38.png
Attachment 4: Screenshot_from_2024-04-24_16-40-24.png
Screenshot_from_2024-04-24_16-40-24.png
Attachment 5: Screenshot_from_2024-04-24_16-41-15.png
Screenshot_from_2024-04-24_16-41-15.png
Attachment 6: Screenshot_from_2024-04-24_16-43-41.png
Screenshot_from_2024-04-24_16-43-41.png
Attachment 7: Screenshot_from_2024-04-24_16-44-15.png
Screenshot_from_2024-04-24_16-44-15.png
Attachment 8: Screenshot_from_2024-04-24_16-44-32.png
Screenshot_from_2024-04-24_16-44-32.png
  601   Wed Apr 24 09:04:55 2024 TD08:00-16:00 Wednesday 24 April
09.56 DAQ continues data file S100_alpha/R18_122
      FRS setting 162Eu

      DSSSD bias & leakage current OK - attachments 1-2

      FEE64 temperatures OK  - attachment 3
       aida02 ASIC temp - known fault

      All system wide checks OK *except* as shown - attachments 4-6

      WR timestamps OK - attachment 7

      ASIC settings aida01 & aida02 - attachments 8-9

      ADC, DISC, PAUSE, RESUME, WR & Correlation Scaler data time stats - attachments 10-15

      per FEE64 Rate spectra - attachments 16-18
       unusually can observe HEC Rates esp. in DSSSD #1 

      per p+n FEE64 1.8.L spectra - attachments 19-21
       aida09 pulser peak width 61 ch FWHM 
       common x/y scale => peak height proxy for width => all p+n FEE64s similar pulser peak widths

      per n+n FEE64 1.8.L spectra - attachment 22

      per FEE64 1.8.H spectra - attachments 23-24

      per FEE64 1.8.W spectra - 20us FSR - attachments 25-26
       only aida08 & aida09 currently updating - ASIC check load and/or DAQ STOP/GO required?

      Merger, TapeServer etc - attachments 27-28

      ucesb - attachments 29-30
       spill cycle reported as 3.5s, extraction 1.5s

10.36 All histograms & stats zero'd

      BNC PB-5 settings - attachment 31

      DSSSD bias & leakage current - Grafana Alerting - attachment 32
       can observe bias & leakage current in greater detail
       DSSSD #1 bias stable, c. 10s nA micro structure observed in leakage current
       DSSSD #2 bias c. 10mV variations, micro structure observed in leakage current but smaller variations cf. DSSSD#1

10.46 analysis of data file R18_131
      max dead time 12% aida04, 6% aida02, all others 0.1% or less
      no timewarps
      HEC data item rates 1.6kHz - correcting for spill cycle => 2.3kHz in spill (online analysis shows no HEC events observed outside spill)
      assume typical HEC event multiplicity = 3 => implant rate ~ 760Hz in spill or 2700 implants per spill
      SC42 L/R currently report c. 2kHz





   

      
Attachment 1: Screenshot_from_2024-04-24_09-56-46.png
Screenshot_from_2024-04-24_09-56-46.png
Attachment 2: Screenshot_from_2024-04-24_09-57-04.png
Screenshot_from_2024-04-24_09-57-04.png
Attachment 3: Screenshot_from_2024-04-24_09-57-42.png
Screenshot_from_2024-04-24_09-57-42.png
Attachment 4: Screenshot_from_2024-04-24_09-57-57.png
Screenshot_from_2024-04-24_09-57-57.png
Attachment 5: Screenshot_from_2024-04-24_09-58-05.png
Screenshot_from_2024-04-24_09-58-05.png
Attachment 6: Screenshot_from_2024-04-24_09-58-19.png
Screenshot_from_2024-04-24_09-58-19.png
Attachment 7: Screenshot_from_2024-04-24_09-58-57.png
Screenshot_from_2024-04-24_09-58-57.png
Attachment 8: Screenshot_from_2024-04-24_09-59-09.png
Screenshot_from_2024-04-24_09-59-09.png
Attachment 9: Screenshot_from_2024-04-24_09-59-20.png
Screenshot_from_2024-04-24_09-59-20.png
Attachment 10: Screenshot_from_2024-04-24_09-59-47.png
Screenshot_from_2024-04-24_09-59-47.png
Attachment 11: Screenshot_from_2024-04-24_09-59-59.png
Screenshot_from_2024-04-24_09-59-59.png
Attachment 12: Screenshot_from_2024-04-24_10-00-21.png
Screenshot_from_2024-04-24_10-00-21.png
Attachment 13: Screenshot_from_2024-04-24_10-00-37.png
Screenshot_from_2024-04-24_10-00-37.png
Attachment 14: Screenshot_from_2024-04-24_10-00-51.png
Screenshot_from_2024-04-24_10-00-51.png
Attachment 15: Screenshot_from_2024-04-24_10-01-06.png
Screenshot_from_2024-04-24_10-01-06.png
Attachment 16: Screenshot_from_2024-04-24_10-01-31.png
Screenshot_from_2024-04-24_10-01-31.png
Attachment 17: Screenshot_from_2024-04-24_10-01-39.png
Screenshot_from_2024-04-24_10-01-39.png
Attachment 18: Screenshot_from_2024-04-24_10-02-48.png
Screenshot_from_2024-04-24_10-02-48.png
Attachment 19: Screenshot_from_2024-04-24_10-03-43.png
Screenshot_from_2024-04-24_10-03-43.png
Attachment 20: Screenshot_from_2024-04-24_10-04-03.png
Screenshot_from_2024-04-24_10-04-03.png
Attachment 21: Screenshot_from_2024-04-24_10-04-24.png
Screenshot_from_2024-04-24_10-04-24.png
Attachment 22: Screenshot_from_2024-04-24_10-06-03.png
Screenshot_from_2024-04-24_10-06-03.png
Attachment 23: Screenshot_from_2024-04-24_10-07-09.png
Screenshot_from_2024-04-24_10-07-09.png
Attachment 24: Screenshot_from_2024-04-24_10-08-01.png
Screenshot_from_2024-04-24_10-08-01.png
Attachment 25: Screenshot_from_2024-04-24_10-08-56.png
Screenshot_from_2024-04-24_10-08-56.png
Attachment 26: Screenshot_from_2024-04-24_10-09-21.png
Screenshot_from_2024-04-24_10-09-21.png
Attachment 27: Screenshot_from_2024-04-24_10-10-39.png
Screenshot_from_2024-04-24_10-10-39.png
Attachment 28: Screenshot_from_2024-04-24_10-10-47.png
Screenshot_from_2024-04-24_10-10-47.png
Attachment 29: Screenshot_from_2024-04-24_10-11-34.png
Screenshot_from_2024-04-24_10-11-34.png
Attachment 30: Screenshot_from_2024-04-24_10-11-40.png
Screenshot_from_2024-04-24_10-11-40.png
Attachment 31: Screenshot_from_2024-04-24_10-36-43.png
Screenshot_from_2024-04-24_10-36-43.png
Attachment 32: Screenshot_from_2024-04-24_10-38-18.png
Screenshot_from_2024-04-24_10-38-18.png
Attachment 33: R18_131
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  254316594 (  804922.0 Hz)
        Other data format:    7603408 (   24065.1 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        827 (       2.6 Hz)
                               RESUME:        827 (       2.6 Hz)
                              SYNC100:      33177 (     105.0 Hz)
                              WR48-63:      33177 (     105.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    7535400 (   23849.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     512028 (    1620.6 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      315.952 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1               17.612                0.000
  2                0.021                0.000
  3               36.829                0.000
  4                0.071                0.000
  5                0.375                0.000
  6                0.000                0.000
  7                0.653                0.000
  8                0.004                0.000
  9                0.000                0.000
 10                0.011                0.000
 11                0.001                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.010                0.000
 15                0.281                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    5990261      11033          0          0          0          0        778        778          0       9477          0      48652
  1   32634474     342633          0          0        250        249       4180       4180          0     333774          0      88686
  2    8233792    3266215          0          0          3          3       1445       1445          0    3263319          0      33897
  3   49889631    2758758          0          0        373        374       6684       6684          0    2744643          0      26463
  4    7710704     511890          0          0          7          7       1031       1031          0     509814          0      33167
  5   17424106     678891          0          0         57         57       2202       2202          0     674373          0      32013
  6    6276423       1654          0          0          0          0        827        827          0          0          0       9743
  7   42348474      10910          0          0         79         79       5376       5376          0          0          0     105118
  8    3355535        852          0          0          1          1        425        425          0          0          0      18387
  9    5876369       1434          0          0          0          0        717        717          0          0          0       7335
 10   19688353       5108          0          0          5          5       2549       2549          0          0          0       3256
 11    5133774       1300          0          0          1          1        649        649          0          0          0      64128
 12    6809941       1758          0          0          0          0        879        879          0          0          0       9510
 13    5093601       1298          0          0          0          0        649        649          0          0          0      11354
 14    4801692       1232          0          0          1          1        615        615          0          0          0       7416
 15   33049464       8442          0          0         50         50       4171       4171          0          0          0      12903
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.441s ( 1086.905 blocks/s,  67.932 Mb/s)
  600   Tue Apr 23 22:37:46 2024 TD00:00-08:00 Wednesday 24 April
23.34 23.4.24

      DSSSD bias & leakage current OK - attachment 2

      FEE64 temperatures OK - attachment 2

      ADC data item stats - attachment 3

      per FEE64 rate spectra - attachments 4

      Merger etc - attachment 5

03.41 fDSSSD bias & leakage current OK - attachment 6

      FEE64 temperatures OK - attachment 7

      ADC data item stats - attachment 8

      per FEE64 rate spectra (off and on spill) - attachments 9-10

      Merger etc - attachment 11

03.54 analysis data file R18_67 - attachment 12
      max deadtime 11% (aida04)
      HEC data item rate c. 1.5kHz
      no timewarps

0.35 fDSSSD bias & leakage current OK - attachment 13

      FEE64 temperatures OK - attachment 14

      ADC data item stats - attachment 15

      per FEE64 rate spectra - attachments 16

      Merger etc - attachment 17

03.54 analysis data file R18_96 - attachment 18
      max deadtime 12% (aida04)
      HEC data item rate c. 1.6kHz
      no timewarps
Attachment 1: Screenshot_from_2024-04-23_23-34-18.png
Screenshot_from_2024-04-23_23-34-18.png
Attachment 2: Screenshot_from_2024-04-23_23-34-54.png
Screenshot_from_2024-04-23_23-34-54.png
Attachment 3: Screenshot_from_2024-04-23_23-35-45.png
Screenshot_from_2024-04-23_23-35-45.png
Attachment 4: Screenshot_from_2024-04-23_23-36-31.png
Screenshot_from_2024-04-23_23-36-31.png
Attachment 5: Screenshot_from_2024-04-23_23-37-21.png
Screenshot_from_2024-04-23_23-37-21.png
Attachment 6: Screenshot_from_2024-04-24_03-41-40.png
Screenshot_from_2024-04-24_03-41-40.png
Attachment 7: Screenshot_from_2024-04-24_03-42-32.png
Screenshot_from_2024-04-24_03-42-32.png
Attachment 8: Screenshot_from_2024-04-24_03-42-58.png
Screenshot_from_2024-04-24_03-42-58.png
Attachment 9: Screenshot_from_2024-04-24_03-43-35.png
Screenshot_from_2024-04-24_03-43-35.png
Attachment 10: Screenshot_from_2024-04-24_03-44-11.png
Screenshot_from_2024-04-24_03-44-11.png
Attachment 11: Screenshot_from_2024-04-24_03-44-35.png
Screenshot_from_2024-04-24_03-44-35.png
Attachment 12: R18_67
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  250287937 (  518744.3 Hz)
        Other data format:   11632065 (   24108.5 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       1106 (       2.3 Hz)
                               RESUME:       1109 (       2.3 Hz)
                              SYNC100:      33796 (      70.0 Hz)
                              WR48-63:      33796 (      70.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   11562258 (   23963.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     752941 (    1560.5 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      482.488 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1               23.222                0.000
  2                0.000                0.000
  3               56.625                0.000
  4                0.000                0.000
  5                0.704                0.000
  6                0.000                0.000
  7                0.939                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.006                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3375676      15359          0          0          0          0        442        442          0      14475          0      75815
  1   47916692     537101          0          0        366        367       6254       6254          0     523860          0     139250
  2    3817331    4998323          0          0          0          0       1132       1132          0    4996059          0      51826
  3   75749746    4208495          0          0        548        550      10285      10285          0    4186827          0      40621
  4    3336977     792495          0          0          1          1        545        545          0     791403          0      53742
  5   23132211    1055976          0          0         83         83       3088       3088          0    1049634          0      49833
  6    3422365        890          0          0          0          0        445        445          0          0          0      14690
  7   56668346      14890          0          0        106        106       7339       7339          0          0          0     118883
  8    2824304        672          0          0          0          0        336        336          0          0          0      28810
  9    3844587        966          0          0          0          0        483        483          0          0          0      11512
 10    4370286       1248          0          0          0          0        624        624          0          0          0       5026
 11    3088851        802          0          0          0          0        401        401          0          0          0      98441
 12    5014612       1352          0          0          0          0        676        676          0          0          0      15213
 13    3462527        896          0          0          0          0        448        448          0          0          0      18001
 14    3185286        772          0          0          2          2        384        384          0          0          0      11128
 15    7078140       1828          0          0          0          0        914        914          0          0          0      20150
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.998s ( 1032.323 blocks/s,  64.520 Mb/s)
Attachment 13: Screenshot_from_2024-04-24_07-35-18.png
Screenshot_from_2024-04-24_07-35-18.png
Attachment 14: Screenshot_from_2024-04-24_07-36-00.png
Screenshot_from_2024-04-24_07-36-00.png
Attachment 15: Screenshot_from_2024-04-24_07-36-29.png
Screenshot_from_2024-04-24_07-36-29.png
Attachment 16: Screenshot_from_2024-04-24_07-37-03.png
Screenshot_from_2024-04-24_07-37-03.png
Attachment 17: Screenshot_from_2024-04-24_07-37-45.png
Screenshot_from_2024-04-24_07-37-45.png
Attachment 18: R18_96
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  253809078 (  751826.0 Hz)
        Other data format:    8110924 (   24025.9 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        844 (       2.5 Hz)
                               RESUME:        844 (       2.5 Hz)
                              SYNC100:      33258 (      98.5 Hz)
                              WR48-63:      33258 (      98.5 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:    8042720 (   23823.9 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     529514 (    1568.5 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      337.590 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1               19.161                0.000
  2                0.007                0.000
  3               41.205                0.000
  4                0.172                0.000
  5                0.406                0.000
  6                0.000                0.000
  7                0.656                0.000
  8                0.010                0.000
  9                0.000                0.000
 10                0.193                0.000
 11                0.001                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.023                0.000
 15                0.121                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    5871650      11620          0          0          0          0        746        746          0      10128          0      52136
  1   34432367     363819          0          0        263        263       4482       4482          0     354329          0      94194
  2    7680769    3497668          0          0          2          2       1401       1401          0    3494862          0      35954
  3   53024609    2927317          0          0        398        398       7008       7008          0    2912505          0      27672
  4    8502384     548708          0          0          9          9       1159       1159          0     546372          0      36407
  5   16750131     728930          0          0         53         53       2150       2150          0     724524          0      33989
  6    6256772       1674          0          0          0          0        837        837          0          0          0      10191
  7   41893078      10740          0          0         71         71       5299       5299          0          0          0      94711
  8    3653651        920          0          0          2          2        458        458          0          0          0      20092
  9    5991221       1564          0          0          0          0        782        782          0          0          0       8149
 10   16753048       4406          0          0          5          5       2198       2198          0          0          0       3402
 11    5222175       1254          0          0          1          1        626        626          0          0          0      68583
 12    7072961       1726          0          0          0          0        863        863          0          0          0      10498
 13    5337727       1396          0          0          0          0        698        698          0          0          0      12368
 14    4940099       1278          0          0          4          4        635        635          0          0          0       7688
 15   30426436       7904          0          0         36         36       3916       3916          0          0          0      13480
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.307s ( 1055.874 blocks/s,  65.992 Mb/s)
  599   Tue Apr 23 19:23:42 2024 PP20:30 checks

All looks good.

Screenshots attached.

Attachment 1: Screenshot_from_2024-04-23_20-24-09.png
Screenshot_from_2024-04-23_20-24-09.png
Attachment 2: Screenshot_from_2024-04-23_20-24-25.png
Screenshot_from_2024-04-23_20-24-25.png
Attachment 3: Screenshot_from_2024-04-23_20-24-52.png
Screenshot_from_2024-04-23_20-24-52.png
Attachment 4: Screenshot_from_2024-04-23_20-25-35.png
Screenshot_from_2024-04-23_20-25-35.png
Attachment 5: Screenshot_from_2024-04-23_20-26-16.png
Screenshot_from_2024-04-23_20-26-16.png
Attachment 6: Screenshot_from_2024-04-23_20-27-01.png
Screenshot_from_2024-04-23_20-27-01.png
Attachment 7: Screenshot_from_2024-04-23_20-27-26.png
Screenshot_from_2024-04-23_20-27-26.png
Attachment 8: Screenshot_from_2024-04-23_20-27-53.png
Screenshot_from_2024-04-23_20-27-53.png
  598   Tue Apr 23 15:11:50 2024 TDTuesday 23 April 16:00-00:00
16.12 Analysis of data file R17_101 - attachment 1

      max deadtime c. 2% (aida02)
      no timewarps observed


16.15 DSSSD bias & leakage current OK - attachment 2

      FEE64 temperatures OK - attachment 7

      ADC data item stats - attachment 3

      per FEE64 rate spectra (on and off spill) - attachments 4-5

      Merger etc - attachment 6


16.53 aida04 stops producing data

      DAQ STOP fails for aida04
      Restart Merger to enable aida04 to complete DAQ STOP

      aida04 rebooted and DAQ restarted OK

      data file S100_alpha/R18
Attachment 1: R17_101
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  244392363 (  344543.7 Hz)
        Other data format:   17527637 (   24710.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        632 (       0.9 Hz)
                               RESUME:        632 (       0.9 Hz)
                              SYNC100:      34642 (      48.8 Hz)
                              WR48-63:      34642 (      48.8 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   17457089 (   24611.0 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     976870 (    1377.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      709.322 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1               16.367                0.000
  2                0.000                0.000
  3                3.744                0.000
  4                0.000                0.000
  5                0.028                0.000
  6                0.000                0.000
  7                0.009                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.004                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    3247904      22147          0          0          0          0        434        434          0      21279          0     100052
  1   78164199     878648          0          0        419        419      10303      10303          0     857204          0     222655
  2    3679894    7126519          0          0          0          0       1427       1427          0    7123665          0      69753
  3   82858158    7034348          0          0        202        202      11907      11907          0    7010130          0      82407
  4    2430024    1045502          0          0          0          0        415        415          0    1044672          0      72940
  5   14446252    1404299          0          0          6          6       2074       2074          0    1400139          0      68087
  6    2997919        898          0          0          0          0        449        449          0          0          0      20036
  7   28730414       7980          0          0          4          4       3986       3986          0          0          0      64499
  8    2617862        676          0          0          0          0        338        338          0          0          0      38168
  9    3117608        872          0          0          0          0        436        436          0          0          0      15569
 10    3647866        954          0          0          0          0        477        477          0          0          0       7030
 11    3150764        810          0          0          0          0        405        405          0          0          0     129790
 12    4169396       1050          0          0          0          0        525        525          0          0          0      20235
 13    2725572        706          0          0          0          0        353        353          0          0          0      23658
 14    2606679        622          0          0          1          1        310        310          0          0          0      15170
 15    5801852       1606          0          0          0          0        803        803          0          0          0      26821
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.402s ( 1052.550 blocks/s,  65.784 Mb/s)
Attachment 2: Screenshot_from_2024-04-23_16-15-38.png
Screenshot_from_2024-04-23_16-15-38.png
Attachment 3: Screenshot_from_2024-04-23_16-16-52.png
Screenshot_from_2024-04-23_16-16-52.png
Attachment 4: Screenshot_from_2024-04-23_16-17-40.png
Screenshot_from_2024-04-23_16-17-40.png
Attachment 5: Screenshot_from_2024-04-23_16-17-25.png
Screenshot_from_2024-04-23_16-17-25.png
Attachment 6: Screenshot_from_2024-04-23_16-18-04.png
Screenshot_from_2024-04-23_16-18-04.png
Attachment 7: Screenshot_from_2024-04-23_16-22-04.png
Screenshot_from_2024-04-23_16-22-04.png
Attachment 8: Screenshot_from_2024-04-23_17-18-08.png
Screenshot_from_2024-04-23_17-18-08.png
Attachment 9: Screenshot_from_2024-04-23_17-18-34.png
Screenshot_from_2024-04-23_17-18-34.png
Attachment 10: Screenshot_from_2024-04-23_17-19-01.png
Screenshot_from_2024-04-23_17-19-01.png
Attachment 11: Screenshot_from_2024-04-23_17-19-18.png
Screenshot_from_2024-04-23_17-19-18.png
Attachment 12: Screenshot_from_2024-04-23_17-20-08.png
Screenshot_from_2024-04-23_17-20-08.png
Attachment 13: Screenshot_from_2024-04-23_17-20-47.png
Screenshot_from_2024-04-23_17-20-47.png
Attachment 14: Screenshot_from_2024-04-23_17-22-09.png
Screenshot_from_2024-04-23_17-22-09.png
Attachment 15: Screenshot_from_2024-04-23_17-22-09.png
Screenshot_from_2024-04-23_17-22-09.png
Attachment 16: Screenshot_from_2024-04-23_17-25-10.png
Screenshot_from_2024-04-23_17-25-10.png
Attachment 17: Screenshot_from_2024-04-23_17-29-21.png
Screenshot_from_2024-04-23_17-29-21.png
Attachment 18: Screenshot_from_2024-04-23_17-29-21.png
Screenshot_from_2024-04-23_17-29-21.png
Attachment 19: Screenshot_from_2024-04-23_17-29-40.png
Screenshot_from_2024-04-23_17-29-40.png
Attachment 20: Screenshot_from_2024-04-23_17-30-04.png
Screenshot_from_2024-04-23_17-30-04.png
  597   Tue Apr 23 13:15:43 2024 PP14:00 checks

All looks good.

Screenshots attached

Attachment 1: Screenshot_from_2024-04-23_14-11-33.png
Screenshot_from_2024-04-23_14-11-33.png
Attachment 2: Screenshot_from_2024-04-23_14-04-26.png
Screenshot_from_2024-04-23_14-04-26.png
Attachment 3: Screenshot_from_2024-04-23_14-04-44.png
Screenshot_from_2024-04-23_14-04-44.png
Attachment 4: Screenshot_from_2024-04-23_14-06-02.png
Screenshot_from_2024-04-23_14-06-02.png
Attachment 5: Screenshot_from_2024-04-23_14-07-07.png
Screenshot_from_2024-04-23_14-07-07.png
Attachment 6: Screenshot_from_2024-04-23_14-09-26.png
Screenshot_from_2024-04-23_14-09-26.png
Attachment 7: Screenshot_from_2024-04-23_14-10-55.png
Screenshot_from_2024-04-23_14-10-55.png
Attachment 8: Screenshot_from_2024-04-23_14-11-16.png
Screenshot_from_2024-04-23_14-11-16.png
  595   Tue Apr 23 13:08:28 2024 TDSummary of AIDA FEE64 stops
We have observed a number of AIDA FEE64s stop producing data.

Summary of dates & (approximate) times

aida03	21.4.24	08.20
aida04	22.4.24	09.14             https://elog.ph.ed.ac.uk/DESPEC/586
aida01	22.4.24	16.00
aida02	22.4.24	22.00
aida02	23.4.24	10.21
aida02	23.4.24 11.25
aida04  23.4.24 16.53?            attachment 2
aida04/aida05 25.4.24 22.28       no messages in aida04 system console
aida04  27.8.24 c.23:00
aida04  28.8.24 c. 12-13:00       power cycle
aida04  28.8.24 c. 16.00          reset per Elog 618
aida02  28.4.24 c. 22.20          reset per Elog 618, no error messages in system console
aida04  29.4.24 01.15
aida04  30.4.24 <03.30
aida02  30.4.24 <10.00


aida02 2.5.24 c. 19.30 reset


In future, it would be useful to copy system console reports to the Elog to check whether we can identify common error messages etc.


ssh pi@nnrpi1
<password>

cd logs
cat /ttyUSBx 

The current mapping of USB port # to AIDA FEE64s - attachment 1


aida02 system console c. 19.30 2.5.24

02:05:24/14:40:51|executing generic doStop
02:05:24/19:27:28|Halt (1)
02:05:24/19:27:29|Halt (2)
02:05:24/19:27:29|Halt (3)
02:05:24/19:27:30|Halt (4)
02:05:24/19:27:30|Halt (5)
02:05:24/19:27:31|Halt (6)
02:05:24/19:27:31|Halt (7)
02:05:24/19:27:32|Halt (8)
02:05:24/19:27:32|Halt (9)
02:05:24/19:27:33|Halt (10)
02:05:24/19:27:33|Halt (11)
02:05:24/19:27:34|Halt (12)
02:05:24/19:27:34|Halt (13)
02:05:24/19:27:35|Halt (14)
02:05:24/19:27:35|Halt (15)
02:05:24/19:27:36|Halt (16)
02:05:24/19:27:36|Halt (17)
02:05:24/19:27:37|Halt (18)
02:05:24/19:27:38|Halt (19)
02:05:24/19:27:38|Action has not completed
02:05:24/19:27:39|Giving up waiting
02:05:24/19:27:39|disconnect xfer stream #0 (1)
02:05:24/19:27:39|disconnect xfer stream #0 (2)
02:05:24/19:27:39|disconnect xfer stream #0 (3)
02:05:24/19:27:40|disconnect xfer stream #0 (4)
02:05:24/19:27:40|disconnect xfer stream #0 (5)
02:05:24/19:27:41|disconnect xfer stream #0 (6)
02:05:24/19:27:41|disconnect xfer stream #0 (7)
02:05:24/19:27:42|disconnect xfer stream #0 (8)
02:05:24/19:27:42|disconnect xfer stream #0 (9)
02:05:24/19:27:43|disconnect xfer stream #0 (10)
02:05:24/19:27:43|disconnect xfer stream #0 (11)
02:05:24/19:27:44|disconnect xfer stream #0 (12)
02:05:24/19:27:44|disconnect xfer stream #0 (13)
02:05:24/19:27:45|disconnect xfer stream #0 (14)
02:05:24/19:27:46|disconnect xfer stream #0 (15)
02:05:24/19:27:46|disconnect xfer stream #0 (16)
02:05:24/19:27:47|disconnect xfer stream #0 (17)
02:05:24/19:27:47|disconnect xfer stream #0 (18)
02:05:24/19:27:48|disconnect xfer stream #0 (19)
02:05:24/19:27:48|Action has not completed
02:05:24/19:27:49|Giving up waiting
02:05:24/19:27:49|executing generic Stop_Merger
02:05:24/19:27:49|executing generic Stop_DataStorageServer
02:05:24/19:27:49|completed generic doStop
02:05:24/19:27:49|do_GetState returned z=0 and 1
02:05:24/19:27:49|do_GetState returned z=0 and 1
02:05:24/19:28:01|Transfer Error - : Connection reset by peer
02:05:24/19:28:08|send() failed: 
02:05:24/19:28:08|Aida state now Stopped. AidaExecV10.0_Jul  6 2022: Build 15:10:57 
02:05:24/19:28:08|do_GetState returned z=0 and 0
02:05:24/19:28:13|executing generic doGo
02:05:24/19:28:45|Initialising communication with storage server 192.168.11.99 on port 11002
02:05:24/19:28:45|Setting Transfer Block Size 65536
02:05:24/19:28:45|Setting Transfer Mode 3
02:05:24/19:28:45|Setting Overlap Mode 0
02:05:24/19:28:45|Setting Blocking Mode 0
02:05:24/19:28:45|Setting nice 0
02:05:24/19:28:45|TCP transfer library version 3.12
02:05:24/19:28:45|TCP socket send buffer was 16384 - now 221184
02:05:24/19:28:45|TCP socket receive buffer was 87380 - now 221184
02:05:24/19:28:45|TCP socket created OK - now connecting to 192.168.11.99 port 11002
02:05:24/19:28:45|Connected to 192.168.11.99 port 11002
02:05:24/19:28:45|connect xfer stream #0 (1)
02:05:24/19:28:45|Data Acquisition Statistics counters now cleared
02:05:24/19:28:46|ASIC DMA buffer size 2097152; WAVE DMA buffer size 1048576
02:05:24/19:28:46|Aida state now Going. AidaExecV10.0: Build Jul  6 2022_15:10:57 
02:05:24/19:28:46|Go (1)
02:05:24/19:28:46|Running Go_Electronics for aida02 with TSMaster aida01
02:05:24/19:28:46|ADCs Calibrated. 
02:05:24/19:28:46|Finished Go_Electronics for AIDA
02:05:24/19:28:46|executing generic Go_Merger
02:05:24/19:28:46|executing generic Go_DataStorageServer
02:05:24/19:28:46|completed generic doGo
02:05:24/19:28:46|do_GetState returned z=0 and 1
02:05:24/19:28:46|In RDOGo_Operate: Enabled Correlation, ASIC and discriminator readout
02:05:24/19:28:51|Also in RDOGo_Operate: Enabled waveform readout
02:05:24/19:28:51|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0201
02:05:24/19:28:51|WV:0:Buffer info. Length = 64 : Offset = 0 
02:05:24/19:28:51|WV:1:Buffer info. Length = 62 : Offset = 2 
02:05:24/19:28:51|WV:2:Buffer info. Length = 60 : Offset = 4 
02:05:24/19:28:52|WV:3:Buffer info. Length = 58 : Offset = 6 
02:05:24/19:28:52|WV:4:Buffer info. Length = 56 : Offset = 8 
02:05:24/19:28:52|WV:5:Buffer info. Length = 54 : Offset = 10 
02:05:24/19:28:52|WV:6:Buffer info. Length = 52 : Offset = 12 
02:05:24/19:28:52|WV:7:Buffer info. Length = 50 : Offset = 14 
02:05:24/19:28:52|WV:8:Buffer info. Length = 48 : Offset = 16 
02:05:24/19:28:52|WV:9:Buffer info. Length = 46 : Offset = 18 
02:05:24/19:28:52|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0201
02:05:24/19:28:52|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
02:05:24/19:28:52|get_WAVEBlk (A) which = 0 ---- status = 1048, State machines = 0201
02:05:24/19:28:52|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
02:05:24/19:28:52|
Attachment 1: Screenshot_from_2024-04-23_14-22-04.png
Screenshot_from_2024-04-23_14-22-04.png
Attachment 2: aida04
23:04:24/11:48:07|TCP transfer library version 3.12
23:04:24/11:48:07|TCP socket send buffer was 16384 - now 221184
23:04:24/11:48:07|TCP socket receive buffer was 87380 - now 221184
23:04:24/11:48:07|TCP socket created OK - now connecting to 192.168.11.99 port 11004
23:04:24/11:48:07|Connected to 192.168.11.99 port 11004
23:04:24/11:48:07|connect xfer stream #0 (1)
23:04:24/11:48:07|Data Acquisition Statistics counters now cleared
23:04:24/11:48:07|ASIC DMA buffer size 2097152; WAVE DMA buffer size 1048576
23:04:24/11:48:07|Aida state now Going. AidaExecV10.0: Build Jul  6 2022_15:10:57 
23:04:24/11:48:07|Go (1)
23:04:24/11:48:07|Running Go_Electronics for aida04 with TSMaster aida01
23:04:24/11:48:07|ADCs Calibrated. 
23:04:24/11:48:07|Finished Go_Electronics for AIDA
23:04:24/11:48:08|executing generic Go_Merger
23:04:24/11:48:08|executing generic Go_DataStorageServer
23:04:24/11:48:08|completed generic doGo
23:04:24/11:48:08|do_GetState returned z=0 and 1
23:04:24/11:48:08|In RDOGo_Operate: Enabled Correlation, ASIC and discriminator readout
23:04:24/11:48:13|Also in RDOGo_Operate: Enabled waveform readout
23:04:24/11:48:13|get_WAVEBlk (A) which = 0 ---- status = 104A, State machines = 0201
23:04:24/11:48:13|WV:0:Buffer info. Length = 64 : Offset = 0 
23:04:24/11:48:13|WV:1:Buffer info. Length = 62 : Offset = 2 
23:04:24/11:48:13|WV:2:Buffer info. Length = 60 : Offset = 4 
23:04:24/11:48:13|WV:3:Buffer info. Length = 58 : Offset = 6 
23:04:24/11:48:13|WV:4:Buffer info. Length = 56 : Offset = 8 
23:04:24/11:48:13|WV:5:Buffer info. Length = 54 : Offset = 10 
23:04:24/11:48:13|WV:6:Buffer info. Length = 52 : Offset = 12 
23:04:24/11:48:13|WV:7:Buffer info. Length = 50 : Offset = 14 
23:04:24/11:48:13|WV:8:Buffer info. Length = 48 : Offset = 16 
23:04:24/11:48:13|WV:9:Buffer info. Length = 46 : Offset = 18 
23:04:24/11:48:13|get_WAVEBlk (A) which = 1 ---- status = 0188, State machines = 0201
23:04:24/11:48:13|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
23:04:24/11:48:13|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
23:04:24/11:48:13|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
23:04:24/11:48:14|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
23:04:24/11:48:14|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
23:04:24/11:48:14|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
23:04:24/11:48:14|get_WAVEBlk (A) which = 0 ---- status = 0048, State machines = 0908
23:04:24/11:48:14|get_WAVEBlk (A) which = 1 ---- status = 0088, State machines = 0908
23:04:24/11:48:14|executing generic doStop
23:04:24/16:58:30|Halt (1)
23:04:24/16:58:31|Halt (2)
23:04:24/16:58:32|Halt (3)
23:04:24/16:58:32|Halt (4)
23:04:24/16:58:33|Halt (5)
23:04:24/16:58:33|Halt (6)
23:04:24/16:58:34|Halt (7)
23:04:24/16:58:35|Halt (8)
23:04:24/16:58:35|Halt (9)
23:04:24/16:58:36|Halt (10)
23:04:24/16:58:36|Halt (11)
23:04:24/16:58:37|Halt (12)
23:04:24/16:58:37|Halt (13)
23:04:24/16:58:38|Halt (14)
23:04:24/16:58:39|Halt (15)
23:04:24/16:58:39|Halt (16)
23:04:24/16:58:40|Halt (17)
23:04:24/16:58:40|Halt (18)
23:04:24/16:58:41|Halt (19)
23:04:24/16:58:41|Action has not completed
23:04:24/16:58:42|Giving up waiting
23:04:24/16:58:42|disconnect xfer stream #0 (1)
23:04:24/16:58:42|disconnect xfer stream #0 (2)
23:04:24/16:58:43|disconnect xfer stream #0 (3)
23:04:24/16:58:43|disconnect xfer stream #0 (4)
23:04:24/16:58:44|disconnect xfer stream #0 (5)
23:04:24/16:58:44|disconnect xfer stream #0 (6)
23:04:24/16:58:45|disconnect xfer stream #0 (7)
23:04:24/16:58:45|disconnect xfer stream #0 (8)
23:04:24/16:58:46|disconnect xfer stream #0 (9)
23:04:24/16:58:47|disconnect xfer stream #0 (10)
23:04:24/16:58:47|disconnect xfer stream #0 (11)
23:04:24/16:58:48|disconnect xfer stream #0 (12)
23:04:24/16:58:48|disconnect xfer stream #0 (13)
23:04:24/16:58:49|disconnect xfer stream #0 (14)
23:04:24/16:58:49|disconnect xfer stream #0 (15)
23:04:24/16:58:50|disconnect xfer stream #0 (16)
23:04:24/16:58:51|disconnect xfer stream #0 (17)
23:04:24/16:58:51|disconnect xfer stream #0 (18)
23:04:24/16:58:52|disconnect xfer stream #0 (19)
23:04:24/16:58:52|Action has not completed
23:04:24/16:58:53|Giving up waiting
23:04:24/16:58:53|executing generic Stop_Merger
23:04:24/16:58:53|executing generic Stop_DataStorageServer
23:04:24/16:58:53|completed generic doStop
23:04:24/16:58:53|do_GetState returned z=0 and 1
23:04:24/16:58:53|do_GetState returned z=0 and 1
23:04:24/16:59:06|Transfer Error - : Connection reset by peer
23:04:24/16:59:15|send() failed: 
23:04:24/16:59:15|TCP transfer library version 3.12
23:04:24/16:59:15|TCP socket send buffer was 16384 - now 221184
23:04:24/16:59:15|TCP socket receive buffer was 87380 - now 221184
23:04:24/16:59:15|TCP socket created OK - now connecting to 192.168.11.99 port 11004
23:04:24/16:59:15|Transfer Error - : Connection refused
23:04:24/16:59:15|connect() failed:  
23:04:24/16:59:15|Aida state now Stopped. AidaExecV10.0_Jul  6 2022: Build 15:10:57 
23:04:24/16:59:15|do_GetState returned z=0 and 0
23:04:24/16:59:18|do_GetState returned z=0 and 0
23:04:24/17:00:09|do_GetState returned z=0 and 0
23:04:24/17:00:11|do_GetState returned z=0 and 0
23:04:24/17:00:19|do_GetState returned z=0 and 0
INIT: Switching to runlevel: 6
INStarting killall:  Unmounting NFS filesystems:  umount: /MIDAS: not mounted
23:04:24/17:00:43|[  OK  ]
23:04:24/17:00:43|Stopping rpcbind: [  OK  ]
23:04:24/17:00:45|Shutting down kernel logger: [  OK  ]
23:04:24/17:00:47|Shutting down system logger: [  OK  ]
23:04:24/17:00:47|Stopping xinetd: [  OK  ]
23:04:24/17:00:47|[  OK  ]
23:04:24/17:00:47|Sending all processes the TERM signal... xaida: release:
23:04:24/17:00:48|
23:04:24/17:00:48|AIDAMEM: aidamem_release:
23:04:24/17:00:48|xaida: release:
23:04:24/17:00:48|Sending all processes the KILL signal... 
23:04:24/17:00:53|Saving random seed:  
23:04:24/17:00:53|Syncing hardware clock to systxaida: open:
23:04:24/17:00:53|emxaida: release:
23:04:24/17:00:53| time xaida: open:
23:04:24/17:00:53|xaida: ioctl: called with command 536899587
23:04:24/17:00:53|xaida: ioctl: called with command 1076129801
23:04:24/17:00:53|RTCxaida: release:
23:04:24/17:00:53|_RD_TIME: Inappropriate ioctl for device
23:04:24/17:00:53|ioctl() to /dev/rtc to read the time failed.
23:04:24/17:00:53|
23:04:24/17:00:53|Please stand by while rebooting the system...
Sj5õ24/17:00:54|Restarting system.
23:04:24/17:00:56|U×®­Ë
                        )	$T,W¤']Z¥anuary 2017
Flash base address=FC000000
Set Flash to ASync Mode
XST_SUCCESS:00:56|
Finished copying zImage to RAM 
23:04:24/17:00:57|
Found 0 errors checking kernel image
23:04:24/17:00:58|VHDL version number 0X03350706 
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
Starting LMK 3200 setup 
23:04:24/17:00:58|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
.... SPI Base Address=0x81400000
clk_control_reg=0x4
23:04:24/17:00:58|Next step is SPIconfig
Control 32(0x81400000)=0x180
SlaveSel(0x81400000)=0x3
Ctrl(0x81400000)=0xE6
Ctrl(0x81400000)=0x86
23:04:24/17:00:58|SPIconfig done now to set up the LMK3200 registers
23:04:24/17:00:58|LMK #0 : regInit[0]=0x80000000
23:04:24/17:00:58|LMK #0 : regInit[1]=0x10070600
23:04:24/17:00:58|LMK #0 : regInit[2]=0x60601
23:04:24/17:00:59|LMK #0 : regInit[3]=0x60602
23:04:24/17:00:59|LMK #0 : regInit[4]=0x60603
23:04:24/17:00:59|LMK #0 : regInit[5]=0x70624
23:04:24/17:00:59|LMK #0 : regInit[6]=0x70605
23:04:24/17:00:59|LMK #0 : regInit[7]=0x70606
23:04:24/17:00:59|LMK #0 : regInit[8]=0x70627
23:04:24/17:00:59|LMK #0 : regInit[9]=0x10000908
23:04:24/17:00:59|LMK #0 : regInit[10]=0xA0022A09
23:04:24/17:00:59|LMK #0 : regInit[11]=0x82800B
23:04:24/17:00:59|LMK #0 : regInit[12]=0x28C800D
23:04:24/17:00:59|LMK #0 : regInit[13]=0x830020E
23:04:24/17:00:59|LMK #0 : regInit[14]=0xC800180F
Calibrate completed at 943 counts
Setting Clock Control =0x0000000B, to set GOE and sync bit
Ctrl @ SPIstop (0x81400000)=0x186
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
23:04:24/17:00:59|
Finished Clock setup LMK03200
completed LMK 3200 setup 
Loaded all four ASICs with default settings 
Setting the ADCs into calibration mode 
23:04:24/17:00:59|
Control 32(0x81400400)=0x180
SlaveSel(0x81400400)=0xFF
Ctrl(0x81400400)=0xE6
Ctrl(0x81400400)=0x86
Init : Config of AD9252 SPI ok
23:04:24/17:01:00|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
Cal DCMs not locked 
ADC calibrate failed 
Jumping to kernel simpleboot...
23:04:24/17:01:00|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
Allocating 0x3b78cc bytes for kernel ...
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
23:04:24/17:01:03|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
Finalizing device tree... flat tree at 0xbd1300
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xa0 0x71 
23:04:24/17:01:09|Using Xilinx Virtex440 machine description
23:04:24/17:01:10|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
23:04:24/17:01:10|Zone PFN ranges:
23:04:24/17:01:10|  DMA      0x00000000 -> 0x00007000
23:04:24/17:01:10|  Normal   0x00007000 -> 0x00007000
23:04:24/17:01:10|Movable zone start PFN for each node
23:04:24/17:01:10|early_node_map[1] active PFN ranges
23:04:24/17:01:10|    0: 0x00000000 -> 0x00007000
23:04:24/17:01:10|MMU: Allocated 1088 bytes of context maps for 255 contexts
23:04:24/17:01:10|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
23:04:24/17:01:10|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
23:04:24/17:01:10|PID hash table entries: 512 (order: 9, 2048 bytes)
23:04:24/17:01:10|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
23:04:24/17:01:11|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
23:04:24/17:01:11|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
23:04:24/17:01:11|Kernel virtual memory layout:
23:04:24/17:01:11|  * 0xffffe000..0xfffff000  : fixmap
23:04:24/17:01:11|  * 0xfde00000..0xfe000000  : consistent mem
23:04:24/17:01:11|  * 0xfde00000..0xfde00000  : early ioremap
23:04:24/17:01:11|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
23:04:24/17:01:11|NR_IRQS:512
23:04:24/17:01:11|clocksource: timebase mult[a00000] shift[22] registered
23:04:24/17:01:11|Console: colour dummy device 80x25
23:04:24/17:01:11|Mount-cache hash table entries: 512
23:04:24/17:01:11|NET: Registered protocol family 16
23:04:24/17:01:11|PCI: Probing PCI hardware
23:04:24/17:01:11|bio: create slab <bio-0> at 0
23:04:24/17:01:11|NET: Registered protocol family 2
23:04:24/17:01:11|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
23:04:24/17:01:11|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
23:04:24/17:01:11|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
23:04:24/17:01:11|TCP: Hash tables configured (established 4096 bind 4096)
23:04:24/17:01:11|TCP reno registered
23:04:24/17:01:12|NET: Registered protocol family 1
23:04:24/17:01:12|ROMFS MTD (C) 2007 Red Hat, Inc.
23:04:24/17:01:12|msgmni has been set to 214
23:04:24/17:01:12|io scheduler noop registered
23:04:24/17:01:12|io scheduler anticipatory registered
23:04:24/17:01:12|io scheduler deadline registered
23:04:24/17:01:12|io scheduler cfq registered (default)
23:04:24/17:01:12|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
23:04:24/17:01:12|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
23:04:24/17:01:12|console [ttyS0] enabled
23:04:24/17:01:12|brd: module loaded
23:04:24/17:01:12|loop: module loaded
23:04:24/17:01:12|Device Tree Probing 'ethernet'
23:04:24/17:01:12|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:a0:71
23:04:24/17:01:12|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
23:04:24/17:01:12|XLlTemac: DCR address: 0x80
23:04:24/17:01:12|XLlTemac: buffer descriptor size: 32768 (0x8000)
23:04:24/17:01:12|XLlTemac: Allocating DMA descriptors with kmalloc
23:04:24/17:01:12|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
23:04:24/17:01:12|XTemac: PHY detected at address 7.
23:04:24/17:01:12|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
23:04:24/17:01:13|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13| Intel/Sharp Extended Query Table at 0x010A
23:04:24/17:01:13|Using buffer write method
23:04:24/17:01:13|cfi_cmdset_0001: Erase suspend on write enabled
23:04:24/17:01:13|cmdlinepart partition parsing not available
23:04:24/17:01:13|RedBoot partition parsing not available
23:04:24/17:01:13|Creating 5 MTD partitions on "fc000000.flash":
23:04:24/17:01:13|0x000000000000-0x000000500000 : "golden_firmware"
23:04:24/17:01:13|0x000000500000-0x000000800000 : "golden_kernel"
23:04:24/17:01:13|0x000000800000-0x000000d00000 : "user_firmware"
23:04:24/17:01:13|0x000000d00000-0x000000fe0000 : "user_kernel"
23:04:24/17:01:13|0x000000fe0000-0x000001000000 : "env_variables"
23:04:24/17:01:13|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
23:04:24/17:01:13|SPI: XIlinx spi: bus number now 32766
23:04:24/17:01:13|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
23:04:24/17:01:14|SPI: XIlinx spi: bus number now 32765
23:04:24/17:01:14|mice: PS/2 mouse device common for all mice
23:04:24/17:01:14|Device Tree Probing 'i2c'
23:04:24/17:01:14| #0 at 0x81600000 mapped to 0xD1030000, irq=22
23:04:24/17:01:14|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
23:04:24/17:01:14|TCP cubic registered
23:04:24/17:01:14|NET: Registered protocol family 17
23:04:24/17:01:14|RPC: Registered udp transport module.
23:04:24/17:01:14|RPC: Registered tcp transport module.
23:04:24/17:01:14|eth0: XLlTemac: Options: 0x3fa
23:04:24/17:01:14|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
23:04:24/17:01:14|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
23:04:24/17:01:15|eth0: XLlTemac: speed set to 1000Mb/s
23:04:24/17:01:17|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
23:04:24/17:01:17|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
23:04:24/17:01:17|Sending DHCP requests ., OK
23:04:24/17:01:18|IP-Config: Got DHCP answer from 192.168.11.99, my address is 192.168.11.4
23:04:24/17:01:18|IP-Config: Complete:
23:04:24/17:01:18|     device=eth0, addr=192.168.11.4, mask=255.255.255.0, gw=255.255.255.255,
23:04:24/17:01:18|     host=aida04, domain=dl.ac.uk, nis-domain=nuclear.physics,
23:04:24/17:01:18|     bootserver=192.168.11.99, rootserver=192.168.11.99, rootpath=/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida04
23:04:24/17:01:18|Looking up port of RPC 100003/2 on 192.168.11.99
23:04:24/17:01:18|Looking up port of RPC 100005/1 on 192.168.11.99
23:04:24/17:01:18|VFS: Mounted root (nfs filesystem) on device 0:12.
23:04:24/17:01:18|Freeing unused kernel memory: 168k init
INIT: version 2.86 booting
23:04:24/17:01:19|Starting sysinit...
23:04:24/17:01:19|		Welcome to DENX & STFC Daresbury Embedded Linux Environment
23:04:24/17:01:20|		Press 'I' to enter interactive startup.
23:04:24/17:01:20|Setting clock  (utc): Tue Apr 23 16:01:20 BST 2024 [  OK  ]
23:04:24/17:01:20|Building the cache [  OK  ]
23:04:24/17:01:20|Setting hostname aida04:  [  OK  ]
23:04:24/17:01:21|Mounting local filesystems:  [  OK  ]
23:04:24/17:01:22|Enabling /etc/fstab swaps:  [  OK  ]
23:04:24/17:01:24|Finishing sysinit...
INIT: Entering runlevel: 3
... 257 more lines ...
  594   Tue Apr 23 11:09:00 2024 PP12:00 checks

Everything is OK now.

Screenshots attached.

Attachment 1: Screenshot_from_2024-04-23_12-01-49.png
Screenshot_from_2024-04-23_12-01-49.png
Attachment 2: Screenshot_from_2024-04-23_12-02-24.png
Screenshot_from_2024-04-23_12-02-24.png
Attachment 3: Screenshot_from_2024-04-23_12-03-36.png
Screenshot_from_2024-04-23_12-03-36.png
Attachment 4: Screenshot_from_2024-04-23_12-04-05.png
Screenshot_from_2024-04-23_12-04-05.png
Attachment 5: Screenshot_from_2024-04-23_12-05-52.png
Screenshot_from_2024-04-23_12-05-52.png
Attachment 6: Screenshot_from_2024-04-23_12-06-42.png
Screenshot_from_2024-04-23_12-06-42.png
Attachment 7: Screenshot_from_2024-04-23_12-07-41.png
Screenshot_from_2024-04-23_12-07-41.png
Attachment 8: Screenshot_from_2024-04-23_12-08-32.png
Screenshot_from_2024-04-23_12-08-32.png
  593   Tue Apr 23 10:52:39 2024 PPAida02 down and back

We lost aida02 at about 11:25 again. Nick fixed it about 11:50.

It is now back in the DAQ.

  592   Tue Apr 23 10:10:31 2024 PPaida02 is back

Nick rebooted AIDA and aida02 came back at around 10:55.

AIDA was out of the DAQ from about 10:30 until 10:55

  591   Tue Apr 23 09:21:01 2024 PP10:20 checks - aida02 is down

Aida02 is down. Contacted Nick.

The rest looks stable, see screenshots.

10:53 CEST (NH): Rebooted aida02 via telnet
Resync ASIC clocks
All system wide checks look ok
ASIC threshold => 0x14

Go > All 16 writing to merger, looks OK

 

aida02 went down again 11:35 CEST
Rebooted with same procedure and is back again

Attachment 1: Screenshot_from_2024-04-23_10-08-54.png
Screenshot_from_2024-04-23_10-08-54.png
Attachment 2: Screenshot_from_2024-04-23_10-13-23.png
Screenshot_from_2024-04-23_10-13-23.png
Attachment 3: Screenshot_from_2024-04-23_10-15-28.png
Screenshot_from_2024-04-23_10-15-28.png
Attachment 4: Screenshot_from_2024-04-23_10-16-23.png
Screenshot_from_2024-04-23_10-16-23.png
Attachment 5: Screenshot_from_2024-04-23_10-16-47.png
Screenshot_from_2024-04-23_10-16-47.png
Attachment 6: Screenshot_from_2024-04-23_10-17-47.png
Screenshot_from_2024-04-23_10-17-47.png
Attachment 7: Screenshot_from_2024-04-23_10-19-30.png
Screenshot_from_2024-04-23_10-19-30.png
Attachment 8: Screenshot_from_2024-04-23_10-20-20.png
Screenshot_from_2024-04-23_10-20-20.png
  590   Tue Apr 23 07:27:18 2024 PP08:00-16:00 Tuesday 24 April shift

Checks 08:00-08:30

Screenshots are attached and everything looks OK.

Attachment 1: Screenshot_from_2024-04-23_08-10-21.png
Screenshot_from_2024-04-23_08-10-21.png
Attachment 2: Screenshot_from_2024-04-23_08-13-20.png
Screenshot_from_2024-04-23_08-13-20.png
Attachment 3: Screenshot_from_2024-04-23_08-15-30.png
Screenshot_from_2024-04-23_08-15-30.png
Attachment 4: Screenshot_from_2024-04-23_08-18-28.png
Screenshot_from_2024-04-23_08-18-28.png
Attachment 5: Screenshot_from_2024-04-23_08-23-07.png
Screenshot_from_2024-04-23_08-23-07.png
Attachment 6: Screenshot_from_2024-04-23_08-26-31.png
Screenshot_from_2024-04-23_08-26-31.png
Attachment 7: Screenshot_from_2024-04-23_08-35-31.png
Screenshot_from_2024-04-23_08-35-31.png
Attachment 8: Screenshot_from_2024-04-23_08-39-43.png
Screenshot_from_2024-04-23_08-39-43.png
  589   Mon Apr 22 22:35:59 2024 TD00.00-08.00 Tuesday 22 April
23.36 Analysis of data file R17_34 - attachment 1
      max deadtime c. 1% (aida02)
      no timewarps

23.38 DSSSD bias & leakage current OK - attachment 2

      FEE64 temperatures OK - attachment 3
       aida02 ASIC temp - known fault

      ADC data item stats - attachment 4

      per FEE64 Rate spectra - attachment 5

      Merger etc - attachment 6

01.03 DSSSD bias & leakage current OK - attachment 7

      FEE64 temperatures OK - attachment 8
       aida02 ASIC temp - known fault

      ADC data item stats - attachment 9

      per FEE64 Rate spectra - attachment 10

      Merger etc - attachment 11

03.06 DSSSD bias & leakage current OK - attachment 12

      FEE64 temperatures OK - attachment 13
       aida02 ASIC temp - known fault

      ADC data item stats - attachment 14

      per FEE64 Rate spectra - attachment 15

      Merger etc - attachment 16

05.04 DSSSD bias & leakage current OK - attachment 17

      FEE64 temperatures OK - attachment 18
       aida02 ASIC temp - known fault

      ADC data item stats - attachment 19

      per FEE64 Rate spectra - attachment 20

      Merger etc - attachment 21

07.29 DSSSD bias & leakage current OK - attachment 22

      FEE64 temperatures OK - attachment 23
       aida02 ASIC temp - known fault

      ADC data item stats - attachment 24

      per FEE64 Rate spectra - attachment 25

      Merger etc - attachment 26
Attachment 1: R17_34
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  242086746 (  241481.1 Hz)
        Other data format:   19833254 (   19783.6 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        347 (       0.3 Hz)
                               RESUME:        347 (       0.3 Hz)
                              SYNC100:      35735 (      35.6 Hz)
                              WR48-63:      35735 (      35.6 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:   19761090 (   19711.7 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     676877 (     675.2 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:     1002.508 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                7.276                0.000
  2                0.000                0.000
  3                3.384                0.000
  4                0.000                0.000
  5                0.005                0.000
  6                0.000                0.000
  7                0.131                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.020                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0    2611636      30762          0          0          0          0        342        342          0      30078          0      64523
  1   15105593     133663          0          0        117        117       1949       1949          0     129531          0      31773
  2    4737354    9053995          0          0          0          0       1811       1811          0    9050373          0      45719
  3   93081574    8973436          0          0        187        187      14170      14170          0    8944722          0      56135
  4    2149658     687339          0          0          0          0        339        339          0     686661          0      47171
  5   16270251     924233          0          0          3          3       2251       2251          0     919725          0      42612
  6    4771409       1270          0          0          0          0        635        635          0          0          0      12858
  7   64665607      18074          0          0         39         39       8998       8998          0          0          0     197755
  8    1902284        428          0          0          0          0        214        214          0          0          0      25268
  9    4387089       1362          0          0          0          0        681        681          0          0          0      10256
 10    8523582       2388          0          0          0          0       1194       1194          0          0          0       4627
 11    2287700        576          0          0          0          0        288        288          0          0          0      83305
 12    4391198       1112          0          0          0          0        556        556          0          0          0      12633
 13    3103598        860          0          0          0          0        430        430          0          0          0      15208
 14    1965500        506          0          0          0          0        253        253          0          0          0      10217
 15   12132713       3250          0          0          1          1       1624       1624          0          0          0      16817
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   30.539s ( 1047.838 blocks/s,  65.490 Mb/s)
Attachment 2: Screenshot_from_2024-04-22_23-38-08.png
Screenshot_from_2024-04-22_23-38-08.png
Attachment 3: Screenshot_from_2024-04-22_23-39-17.png
Screenshot_from_2024-04-22_23-39-17.png
Attachment 4: Screenshot_from_2024-04-22_23-39-44.png
Screenshot_from_2024-04-22_23-39-44.png
Attachment 5: Screenshot_from_2024-04-22_23-40-27.png
Screenshot_from_2024-04-22_23-40-27.png
Attachment 6: Screenshot_from_2024-04-22_23-40-54.png
Screenshot_from_2024-04-22_23-40-54.png
Attachment 7: Screenshot_from_2024-04-23_01-04-32.png
Screenshot_from_2024-04-23_01-04-32.png
Attachment 8: Screenshot_from_2024-04-23_01-05-40.png
Screenshot_from_2024-04-23_01-05-40.png
Attachment 9: Screenshot_from_2024-04-23_01-06-12.png
Screenshot_from_2024-04-23_01-06-12.png
Attachment 10: Screenshot_from_2024-04-23_01-06-40.png
Screenshot_from_2024-04-23_01-06-40.png
Attachment 11: Screenshot_from_2024-04-23_01-07-18.png
Screenshot_from_2024-04-23_01-07-18.png
Attachment 12: Screenshot_from_2024-04-23_03-06-28.png
Screenshot_from_2024-04-23_03-06-28.png
Attachment 13: Screenshot_from_2024-04-23_03-07-02.png
Screenshot_from_2024-04-23_03-07-02.png
Attachment 14: Screenshot_from_2024-04-23_03-07-35.png
Screenshot_from_2024-04-23_03-07-35.png
Attachment 15: Screenshot_from_2024-04-23_03-08-09.png
Screenshot_from_2024-04-23_03-08-09.png
Attachment 16: Screenshot_from_2024-04-23_03-08-43.png
Screenshot_from_2024-04-23_03-08-43.png
Attachment 17: Screenshot_from_2024-04-23_05-02-11.png
Screenshot_from_2024-04-23_05-02-11.png
Attachment 18: Screenshot_from_2024-04-23_05-03-10.png
Screenshot_from_2024-04-23_05-03-10.png
Attachment 19: Screenshot_from_2024-04-23_05-03-57.png
Screenshot_from_2024-04-23_05-03-57.png
Attachment 20: Screenshot_from_2024-04-23_05-04-37.png
Screenshot_from_2024-04-23_05-04-37.png
Attachment 21: Screenshot_from_2024-04-23_05-05-13.png
Screenshot_from_2024-04-23_05-05-13.png
Attachment 22: Screenshot_from_2024-04-23_07-29-15.png
Screenshot_from_2024-04-23_07-29-15.png
Attachment 23: Screenshot_from_2024-04-23_07-29-59.png
Screenshot_from_2024-04-23_07-29-59.png
Attachment 24: Screenshot_from_2024-04-23_07-30-27.png
Screenshot_from_2024-04-23_07-30-27.png
Attachment 25: Screenshot_from_2024-04-23_07-30-54.png
Screenshot_from_2024-04-23_07-30-54.png
Attachment 26: Screenshot_from_2024-04-23_07-31-16.png
Screenshot_from_2024-04-23_07-31-16.png
  588   Mon Apr 22 15:17:07 2024 DSJ16.00-0.00 22/04/24

First checks of shift. All looks ok.

Screenshot of HV, temps, rates, Merger attached. Attachments 1-4.

Screenshots of all spectrum Layout IDs taken before zeroing at 16.35. (Attachments 5-12)

 

checks at 16.00 - merger has crashed - aida01 dropped out  - Tom tried to stop DAQ but got an error. Restart servers restarted at 16.09 

Reset 01, restarted DAQ, and restarted as R16.

16.15 Data seems to be collected but spectra not being incremented in aida01. Timestamps look to be out of sync. Tom restarting aida01 again.

Did not fix, power cycle all FEEs - all spectra reset. aida3,6,11,12,14,15 wont calibrate adcs so wont have waveforms

RUN17 STARTED 16.51|

 HV, temps, rates, Merger looks ok 17.00. Plots saved as attachments 13-16.

 

20.47, all looks ok - see attachment 17-20. Rates are higher in attachment 20 than in attachment 2 from start of shift

21.59 aida02 (link aida1) stopped taking data and dropped out of the merger (see attachment 21). Came back to life after about 15 miutes. Tom logged in remotely to investigate. Seems to be ok. see attachment 22 for timestamps

22.48 - things apear ok. (Attachments 23-26) 

Attachment 1: Screenshot_from_2024-04-22_16-16-30.png
Screenshot_from_2024-04-22_16-16-30.png
Attachment 2: Screenshot_from_2024-04-22_16-14-31.png
Screenshot_from_2024-04-22_16-14-31.png
Attachment 3: Screenshot_from_2024-04-22_16-09-16.png
Screenshot_from_2024-04-22_16-09-16.png
Attachment 4: Screenshot_from_2024-04-22_16-05-22.png
Screenshot_from_2024-04-22_16-05-22.png
Attachment 5: Screenshot_from_2024-04-22_16-33-34.png
Screenshot_from_2024-04-22_16-33-34.png
Attachment 6: Screenshot_from_2024-04-22_16-32-54.png
Screenshot_from_2024-04-22_16-32-54.png
Attachment 7: Screenshot_from_2024-04-22_16-32-04.png
Screenshot_from_2024-04-22_16-32-04.png
Attachment 8: Screenshot_from_2024-04-22_16-31-03.png
Screenshot_from_2024-04-22_16-31-03.png
Attachment 9: Screenshot_from_2024-04-22_16-30-00.png
Screenshot_from_2024-04-22_16-30-00.png
Attachment 10: Screenshot_from_2024-04-22_16-29-03.png
Screenshot_from_2024-04-22_16-29-03.png
Attachment 11: Screenshot_from_2024-04-22_16-27-01.png
Screenshot_from_2024-04-22_16-27-01.png
Attachment 12: Screenshot_from_2024-04-22_16-25-30.png
Screenshot_from_2024-04-22_16-25-30.png
Attachment 13: Screenshot_from_2024-04-22_19-00-04.png
Screenshot_from_2024-04-22_19-00-04.png
Attachment 14: Screenshot_from_2024-04-22_18-59-25.png
Screenshot_from_2024-04-22_18-59-25.png
Attachment 15: Screenshot_from_2024-04-22_18-58-21.png
Screenshot_from_2024-04-22_18-58-21.png
Attachment 16: Screenshot_from_2024-04-22_18-56-34.png
Screenshot_from_2024-04-22_18-56-34.png
Attachment 17: Screenshot_from_2024-04-22_20-46-50.png
Screenshot_from_2024-04-22_20-46-50.png
Attachment 18: Screenshot_from_2024-04-22_20-45-57.png
Screenshot_from_2024-04-22_20-45-57.png
Attachment 19: Screenshot_from_2024-04-22_20-45-10.png
Screenshot_from_2024-04-22_20-45-10.png
Attachment 20: Screenshot_from_2024-04-22_20-44-41.png
Screenshot_from_2024-04-22_20-44-41.png
Attachment 21: Screenshot_from_2024-04-22_22-00-30.png
Screenshot_from_2024-04-22_22-00-30.png
Attachment 22: Screenshot_from_2024-04-22_22-53-11.png
Screenshot_from_2024-04-22_22-53-11.png
Attachment 23: Screenshot_from_2024-04-22_22-52-19.png
Screenshot_from_2024-04-22_22-52-19.png
Attachment 24: Screenshot_from_2024-04-22_22-50-52.png
Screenshot_from_2024-04-22_22-50-52.png
Attachment 25: Screenshot_from_2024-04-22_22-49-07.png
Screenshot_from_2024-04-22_22-49-07.png
Attachment 26: Screenshot_from_2024-04-22_22-48-31.png
Screenshot_from_2024-04-22_22-48-31.png
  587   Mon Apr 22 11:43:55 2024 TD21-22.4.24 overnight MIDASsort online sort
Attachment 1 - per DSSSD LEC e_p versus e_n (20keV/channel)

Attachment 2 - per DSSSD HEC m_p versus m_n 

Attachment 3 - per DSSSD HEC x versus y (I really do need to sort out the mapping!)

Attachment 4 - per DSSSD HEC e_p versus e_n (20MeV/channel)

Attachment 5 - per DSSSD per pixel HEC-HEC time (4.096us/channel)

Attachment 6 - per DSSSD implant/decay event rates (262.144us/channel)
               showing beam spill cycle

Attachment 7 - colours/contour levels for all 2D spectra
Attachment 1: Screenshot_from_2024-04-22_07-58-12.png
Screenshot_from_2024-04-22_07-58-12.png
Attachment 2: Screenshot_from_2024-04-22_07-59-15.png
Screenshot_from_2024-04-22_07-59-15.png
Attachment 3: Screenshot_from_2024-04-22_08-00-37.png
Screenshot_from_2024-04-22_08-00-37.png
Attachment 4: Screenshot_from_2024-04-22_08-01-08.png
Screenshot_from_2024-04-22_08-01-08.png
Attachment 5: Screenshot_from_2024-04-22_08-02-03.png
Screenshot_from_2024-04-22_08-02-03.png
Attachment 6: Screenshot_from_2024-04-22_08-03-25.png
Screenshot_from_2024-04-22_08-03-25.png
Attachment 7: Screenshot_from_2024-04-22_12-48-18.png
Screenshot_from_2024-04-22_12-48-18.png
  586   Mon Apr 22 09:03:54 2024 TDReport: aida04 stops producing data
09.14 aida04 stopped producing data - system console output - attachment 1

It is not clear (to me at least) whether the cause is radiation-induced single event upsets or
the start/stop of LN2 fills.

Nor is it clear why the FEE64 stops
- WR timestamp error (data link to Merger blocks)
- AIDAExecV10 fails
- ?

rebooted aida04

DAQ RESET/SETUP etc cycle for all FEE64s

10.03 successful restart 
      current data file R15
Attachment 1: Screenshot_from_2024-04-22_09-49-30.png
Screenshot_from_2024-04-22_09-49-30.png
  585   Sun Apr 21 23:20:45 2024 TDMonday 22 April
00.15 Data link to MBS was closed by MBS - reason(s) unknown
      NH restarted MBS receiver and data transfer restarted

01.34 DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2

      ADC data item stats OK - attachment 3

      Merger, TapeServer etc - attachment 4

04.36 DSSSD bias & leakage current OK - attachment 5

      FEE64 temperatures OK - attachment 6

      ADC data item stats OK - attachment 7

      Merger, TapeServer etc - attachment 8

07.54 data storage to local disk enabled
      file aida-gsi:/TapeData/S100_alpha/R14_

09.36 DAQ continues, current data file R14_46

      168Er setting

      DSSSD bias & leakage current OK - attachments 9 & 10
      leakage current DSSSD#1 ramping and cycling with beam spills

      FEE64 temperatures OK - attachment 11

      System wide checks OK *except* WR/FPGA errors - attachments 12-13

      WR timestamps - attachment 14
       aida04 out of seq

      ADC data item stats - attachment 15
       high rates observed all FEE64s beam on, beam off rates OK

      per FEE64 Rate spectra - attachment 16

      per FEE64 1.8.L spectra - attachments 17-18

      per FEE64 1.8.W spectra - attachments 19-20

      per FEE^4 1.8.H spectra - attachments 21-22
   
      Merger, TapeServer etc - attachments 23-25
       data rates to c. 10Mb/s beam on


09.55 aida04 rebooted - https://elog.ph.ed.ac.uk/DESPEC/586

10.01 DAQ restarted
      data file R15

      ADC data item stats - attachments 26-27
       large variation in rates beam off/on

c. 10.30 beam off (for new FRS setting?)


11.25 analysis of data files R14_19 (beam ON) and R15_24 (beam OFF) - attachments 28-29

      For beam ON max deadtime c. 11% (aida04), beam OFF max deadtime c. 0.1% (aida04)

      Zero timewarps observed

      Notice large # of PAUSE/RESUME data items for aida03 & aida04 presumably due to additional overhead of processing the time machine scalers 

12.35 Beam off

      DSSSD bias & leakage current OK - attachment 30
       Can observe beam spill structure in DSSSD leakage currents - now slowly recovering with beam off

      FEE64 temperatures OK - attachment 31

      ADC data item stats OK - attachment 32

      Merger, TapeServer etc - attachment 33

12.55 New ASIC settings 2024Apr22-12.55.38
       *all* discs disabled to reduce load on aida04
       slow comparator 0x14

      BNC PB-5 settings (since yesterday evening)

      Amplitude 10.0V
      Attenuation x10
      tau_d 1ms
      Frequency 2Hz
      Tail pulse
      Polarity +


13.46 all histograms & stats zero'd

13.48 Beam off
      per FEE64 Rate spectra - attachment 34
       2Hz pulser to p+n FEE64s

      per FEE64 1.8.W spectra - attachments 35-36
       p+n FEE64 threshold = 6000 to capture pulser events
       n+n FEE64 threshold = 8000 to capture noise

      per p+n FEE64 1.8.L spectra - attachments 37-38
       common x/y-scale - peak height proxy for peak width
       aida09 pulser peak width 57 ch FWHM ~40keV FWHM ( 5 x sigma ~ 85keV )

14.28 168Eu setting (check only - setup still in progress)
Attachment 1: Screenshot_from_2024-04-22_01-32-28.png
Screenshot_from_2024-04-22_01-32-28.png
Attachment 2: Screenshot_from_2024-04-22_01-32-48.png
Screenshot_from_2024-04-22_01-32-48.png
Attachment 3: Screenshot_from_2024-04-22_01-33-05.png
Screenshot_from_2024-04-22_01-33-05.png
Attachment 4: Screenshot_from_2024-04-22_01-34-06.png
Screenshot_from_2024-04-22_01-34-06.png
Attachment 5: Screenshot_from_2024-04-22_05-12-23.png
Screenshot_from_2024-04-22_05-12-23.png
Attachment 6: Screenshot_from_2024-04-22_05-13-16.png
Screenshot_from_2024-04-22_05-13-16.png
Attachment 7: Screenshot_from_2024-04-22_05-14-07.png
Screenshot_from_2024-04-22_05-14-07.png
Attachment 8: Screenshot_from_2024-04-22_05-15-01.png
Screenshot_from_2024-04-22_05-15-01.png
Attachment 9: Screenshot_from_2024-04-22_09-27-20.png
Screenshot_from_2024-04-22_09-27-20.png
Attachment 10: Screenshot_from_2024-04-22_09-37-32.png
Screenshot_from_2024-04-22_09-37-32.png
Attachment 11: Screenshot_from_2024-04-22_09-27-38.png
Screenshot_from_2024-04-22_09-27-38.png
Attachment 12: Screenshot_from_2024-04-22_09-27-54.png
Screenshot_from_2024-04-22_09-27-54.png
Attachment 13: Screenshot_from_2024-04-22_09-27-54.png
Screenshot_from_2024-04-22_09-27-54.png
Attachment 14: Screenshot_from_2024-04-22_09-28-29.png
Screenshot_from_2024-04-22_09-28-29.png
Attachment 15: Screenshot_from_2024-04-22_09-28-43.png
Screenshot_from_2024-04-22_09-28-43.png
Attachment 16: Screenshot_from_2024-04-22_09-29-28.png
Screenshot_from_2024-04-22_09-29-28.png
Attachment 17: Screenshot_from_2024-04-22_09-30-17.png
Screenshot_from_2024-04-22_09-30-17.png
Attachment 18: Screenshot_from_2024-04-22_09-30-48.png
Screenshot_from_2024-04-22_09-30-48.png
Attachment 19: Screenshot_from_2024-04-22_09-31-45.png
Screenshot_from_2024-04-22_09-31-45.png
Attachment 20: Screenshot_from_2024-04-22_09-32-00.png
Screenshot_from_2024-04-22_09-32-00.png
Attachment 21: Screenshot_from_2024-04-22_09-34-15.png
Screenshot_from_2024-04-22_09-34-15.png
Attachment 22: Screenshot_from_2024-04-22_09-35-55.png
Screenshot_from_2024-04-22_09-35-55.png
Attachment 23: Screenshot_from_2024-04-22_09-36-14.png
Screenshot_from_2024-04-22_09-36-14.png
Attachment 24: Screenshot_from_2024-04-22_09-36-26.png
Screenshot_from_2024-04-22_09-36-26.png
Attachment 25: Screenshot_from_2024-04-22_09-36-37.png
Screenshot_from_2024-04-22_09-36-37.png
Attachment 26: Screenshot_from_2024-04-22_10-06-16.png
Screenshot_from_2024-04-22_10-06-16.png
Attachment 27: Screenshot_from_2024-04-22_10-06-32.png
Screenshot_from_2024-04-22_10-06-32.png
Attachment 28: R14_19
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  247983505 (  854821.8 Hz)
        Other data format:   13936495 (   48040.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:       2020 (       7.0 Hz)
                               RESUME:       2018 (       7.0 Hz)
                              SYNC100:      33080 (     114.0 Hz)
                              WR48-63:      33080 (     114.0 Hz)
                           FEE64 disc:    4068663 (   14025.1 Hz)
                             MBS info:    9797634 (   33773.3 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:    1220258 (    4206.3 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:      290.100 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.648                0.000
  1               16.396                0.000
  2               16.224                0.000
  3               32.946                0.000
  4                4.383                0.000
  5               16.546                0.000
  6                0.000                0.000
  7               17.903                0.000
  8                0.098                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.093                0.000
 12                0.128                0.000
 13                0.000                0.000
 14                6.899                0.000
 15                0.006                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   16217774     546956          0          0         43         43       2226       2226     533739       8679          0     243502
  1   35997019     655408          0          0        266        266       4741       4741     645391          3          0     273982
  2   19522166    4283326          0          0        212        211       2914       2914     609647    3667428          0     121715
  3   45252368    3863960          0          0        711        711       6334       6334     501021    3348849          0      85285
  4   13017873    1445769          0          0        136        136       1769       1769     113373    1328586          0      34444
  5   28657824    1675874          0          0        252        251       3721       3721     223840    1444089          0      62230
  6    2024666     103995          0          0          1          1        248        248     103497          0          0      18668
  7   35214745     192536          0          0        242        242       4568       4568     182916          0          0      92814
  8    9901593     241512          0          0          8          8       1198       1198     239100          0          0      66118
  9    1636292      52204          0          0          0          0        256        256      51692          0          0       9281
 10    1524839      18248          0          0          0          0        211        211      17826          0          0       2366
 11    7330756     257048          0          0         12         12        910        910     255204          0          0      83995
 12    5748840     120224          0          0         17         17        694        694     118802          0          0      35149
 13    2639782     121147          0          0          0          0        330        330     120487          0          0      30525
 14   17865155     154024          0          0        117        117       2280       2280     149230          0          0      12092
 15    5431813     204264          0          0          3          3        680        680     202898          0          0      48092
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   29.855s ( 1071.830 blocks/s,  66.989 Mb/s)
Attachment 29: R15_24
 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  228207643 (  129261.6 Hz)
        Other data format:   33712360 (   19095.4 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:        547 (       0.3 Hz)
                               RESUME:        547 (       0.3 Hz)
                              SYNC100:      38576 (      21.9 Hz)
                              WR48-63:      38576 (      21.9 Hz)
                           FEE64 disc:    2636338 (    1493.3 Hz)
                             MBS info:   30997776 (   17557.8 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     110998 (      62.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:     1765.471 s
 FEE  elapsed dead time(s) elapsed idle time(s)
  0                0.000                0.000
  1                0.000                0.000
  2                0.000                0.000
  3                1.254                0.000
  4                0.000                0.000
  5                0.000                0.000
  6                0.000                0.000
  7                0.032                0.000
  8                0.000                0.000
  9                0.000                0.000
 10                0.000                0.000
 11                0.000                0.000
 12                0.000                0.000
 13                0.000                0.000
 14                0.000                0.000
 15                0.000                0.000
 16                0.000                0.000
 17                0.000                0.000
 18                0.000                0.000
 19                0.000                0.000
 20                0.000                0.000
 21                0.000                0.000
 22                0.000                0.000
 23                0.000                0.000
 24                0.000                0.000
 25                0.000                0.000
 26                0.000                0.000
 27                0.000                0.000
 28                0.000                0.000
 29                0.000                0.000
 30                0.000                0.000
 31                0.000                0.000
 32                0.000                0.000

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0     716460      53177          0          0          0          0        106        106          0      52965          0          0
  1    6234512      54773          0          0          0          0        905        905          1      52962          0          1
  2    1818908   15542833          0          0          0          0       2263       2263      44321   15493986          0          0
  3  117796308   17921646          0          0        542        542      20384      20384    2481931   15397863          0          3
  4     548813        118          0          0          0          0         59         59          0          0          0          0
  5    7623899       2086          0          0          0          0       1043       1043          0          0          0          0
  6    3790120       8410          0          0          0          0        635        635       7140          0          0          0
  7   58887930      93835          0          0          5          5       8712       8712      76401          0          0     110890
  8     284598       7007          0          0          0          0         30         30       6947          0          0          2
  9    5466145       1638          0          0          0          0        819        819          0          0          0          0
 10    8640524      22006          0          0          0          0       1261       1261      19484          0          0          0
 11     299711        105          0          0          0          0         40         40         25          0          0         18
 12    3513079       1030          0          0          0          0        515        515          0          0          0          0
 13    2905492        870          0          0          0          0        435        435          0          0          0          0
 14     391698        162          0          0          0          0         48         48         66          0          0         72
 15    9289446       2664          0          0          0          0       1321       1321         22          0          0         12
 16          0          0          0          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0          0          0          0

 *** Timewarps
 FEE       ADC      Pause     Resume    SYNC100    WR48-63       Disc        MBS  Undefined    Samples
  0          0          0          0          0          0          0          0          0          0
  1          0          0          0          0          0          0          0          0          0
  2          0          0          0          0          0          0          0          0          0
  3          0          0          0          0          0          0          0          0          0
  4          0          0          0          0          0          0          0          0          0
  5          0          0          0          0          0          0          0          0          0
  6          0          0          0          0          0          0          0          0          0
  7          0          0          0          0          0          0          0          0          0
  8          0          0          0          0          0          0          0          0          0
  9          0          0          0          0          0          0          0          0          0
 10          0          0          0          0          0          0          0          0          0
 11          0          0          0          0          0          0          0          0          0
 12          0          0          0          0          0          0          0          0          0
 13          0          0          0          0          0          0          0          0          0
 14          0          0          0          0          0          0          0          0          0
 15          0          0          0          0          0          0          0          0          0
 16          0          0          0          0          0          0          0          0          0
 17          0          0          0          0          0          0          0          0          0
 18          0          0          0          0          0          0          0          0          0
 19          0          0          0          0          0          0          0          0          0
 20          0          0          0          0          0          0          0          0          0
 21          0          0          0          0          0          0          0          0          0
 22          0          0          0          0          0          0          0          0          0
 23          0          0          0          0          0          0          0          0          0
 24          0          0          0          0          0          0          0          0          0
 25          0          0          0          0          0          0          0          0          0
 26          0          0          0          0          0          0          0          0          0
 27          0          0          0          0          0          0          0          0          0
 28          0          0          0          0          0          0          0          0          0
 29          0          0          0          0          0          0          0          0          0
 30          0          0          0          0          0          0          0          0          0
 31          0          0          0          0          0          0          0          0          0
 32          0          0          0          0          0          0          0          0          0

 *** Program elapsed time:   32.203s (  993.692 blocks/s,  62.106 Mb/s)
Attachment 30: Screenshot_from_2024-04-22_12-31-14.png
Screenshot_from_2024-04-22_12-31-14.png
Attachment 31: Screenshot_from_2024-04-22_12-31-41.png
Screenshot_from_2024-04-22_12-31-41.png
Attachment 32: Screenshot_from_2024-04-22_12-32-08.png
Screenshot_from_2024-04-22_12-32-08.png
Attachment 33: Screenshot_from_2024-04-22_12-32-45.png
Screenshot_from_2024-04-22_12-32-45.png
Attachment 34: Screenshot_from_2024-04-22_13-48-06.png
Screenshot_from_2024-04-22_13-48-06.png
Attachment 35: Screenshot_from_2024-04-22_14-07-29.png
Screenshot_from_2024-04-22_14-07-29.png
Attachment 36: Screenshot_from_2024-04-22_14-09-23.png
Screenshot_from_2024-04-22_14-09-23.png
Attachment 37: Screenshot_from_2024-04-22_14-12-50.png
Screenshot_from_2024-04-22_14-12-50.png
Attachment 38: Screenshot_from_2024-04-22_14-13-05.png
Screenshot_from_2024-04-22_14-13-05.png
  584   Sun Apr 21 00:34:33 2024 TDSunday 21 April
01.35 DSSSD bias & leakage current OK - Grafana - attachment 1

      FEE64 temperatures OK - attachment 2
 
      ADC data item stats OK - attachment 3

      Merger OK - attachment 4

04.05 DSSSD bias & leakage current OK - Grafana - attachment 5

      FEE64 temperatures OK - attachment 6
 
      ADC data item stats OK - attachment 7

      Merger OK - attachment 8

08.20 DSSSD bias & leakage current OK - Grafana - attachment 9

      FEE64 temperatures OK - attachment 10
 
      ADC data item stats - attachment 11
       increased rates observed esp. DSSSD#2 n+n

      Merger etc - attachment 12
      rate increased x2 cf. overnight

      WR timestamp - attachment 13
       aida03 out sequence

09.25 MBS data relay restarted

      Merger data links - attachment 14
       aida03 rate zero

      per FEE64 1.8.W spectra - 20us FSR - attachments 15-16

      per FEE64 Rate spectra - attachment 17
      increased rate for DSSSD#2 n+n FEE64s in particular

      MIDASsort online analysis - attachments 18-19
      per DSSSD e_front versus e_back for LEC (20keV/channel) and HEC (20MeV/channel)
      observe light ions (LEC) c. 2MeV
      observe low rate of high energy ions (HEC) c. 600MeV
      similar energies in both DSSSDs for LEC and HEC implying both types of event have range >> 2mm Si


10.09 DAQ STOP
      aida03 does not respond - reboot aida03

      DAQ RESET/SETUP etc     

      Synchronise ASIC clocks
      All system wide checks OK *except* FPGA errors

      Data transfer disabled
      Histograms & stats zero'd
      DAQ GO

      Merger restarted 

      Data transfer enabled
      DAQ GO

      WR timestamp OK - attachments 20-21

      ADC data item stats OK - attachment 22
       rates status quo ante
  
      Merger etc OK - attachment 23


14.58 DSSSD bias & leakage current OK - Grafana - attachment 24

      FEE64 temperatures OK - attachment 25

      ADC data item stats OK - attachment 26

      Merger etc OK - attachment 27

18.13 DSSSD bias & leakage current OK - Grafana - attachment 28

      FEE64 temperatures OK - attachment 29

      ADC data item stats OK - attachment 30

      Merger etc OK - attachment 31


20.30 Primary c, few kHz 170Er beam to S4 - upstream s/steel flange removed
      Start of stopping range calibration in the implantation stack - currently ion appear to be stopping in DSSSD#1 (upstream)


      Decay and implant events as function of time showing spill structure - attachment 32

      per DSSSD e_front versus e_back (HEC, 20MeV/channel) - attachment 33

      per DSSSD x versus y hit pattern (HEC) - attachment 34
       mapping to be configured but clear ions are mostly implanted in centre of DSSSD#1 

      2D colour/contour levels - attachment 35

      per DSSSD m_front versus m_back (HEC) - attachment 36

      per DSSSD per pixel HEC-HEC time (4.096us/channel) - attachment 37

23.44 aida02 has stopped running
      DAQ STOP
      aida02 rebooted

      Data transfer disabled

      DAQ RESET/SETUP 

      Synchronise ASIC clocks
      All system wide checks OK *except* FPGA errors

      Histograms & stats zero'd
      DAQ GO

      Merger restarted 

      Data transfer enabled
      DAQ GO







        


        
Attachment 1: Screenshot_from_2024-04-21_01-31-59.png
Screenshot_from_2024-04-21_01-31-59.png
Attachment 2: Screenshot_from_2024-04-21_01-32-50.png
Screenshot_from_2024-04-21_01-32-50.png
Attachment 3: Screenshot_from_2024-04-21_01-33-28.png
Screenshot_from_2024-04-21_01-33-28.png
Attachment 4: Screenshot_from_2024-04-21_01-34-10.png
Screenshot_from_2024-04-21_01-34-10.png
Attachment 5: Screenshot_from_2024-04-21_04-05-57.png
Screenshot_from_2024-04-21_04-05-57.png
Attachment 6: Screenshot_from_2024-04-21_04-06-58.png
Screenshot_from_2024-04-21_04-06-58.png
Attachment 7: Screenshot_from_2024-04-21_04-07-36.png
Screenshot_from_2024-04-21_04-07-36.png
Attachment 8: Screenshot_from_2024-04-21_04-08-08.png
Screenshot_from_2024-04-21_04-08-08.png
Attachment 9: Screenshot_from_2024-04-21_08-18-51.png
Screenshot_from_2024-04-21_08-18-51.png
Attachment 10: Screenshot_from_2024-04-21_08-19-50.png
Screenshot_from_2024-04-21_08-19-50.png
Attachment 11: Screenshot_from_2024-04-21_08-21-20.png
Screenshot_from_2024-04-21_08-21-20.png
Attachment 12: Screenshot_from_2024-04-21_08-22-42.png
Screenshot_from_2024-04-21_08-22-42.png
Attachment 13: Screenshot_from_2024-04-21_08-28-22.png
Screenshot_from_2024-04-21_08-28-22.png
Attachment 14: Screenshot_from_2024-04-21_09-27-50.png
Screenshot_from_2024-04-21_09-27-50.png
Attachment 15: Screenshot_from_2024-04-21_09-29-07.png
Screenshot_from_2024-04-21_09-29-07.png
Attachment 16: Screenshot_from_2024-04-21_09-30-08.png
Screenshot_from_2024-04-21_09-30-08.png
Attachment 17: Screenshot_from_2024-04-21_09-31-12.png
Screenshot_from_2024-04-21_09-31-12.png
Attachment 18: Screenshot_from_2024-04-21_09-37-11.png
Screenshot_from_2024-04-21_09-37-11.png
Attachment 19: Screenshot_from_2024-04-21_09-38-08.png
Screenshot_from_2024-04-21_09-38-08.png
Attachment 20: Screenshot_from_2024-04-21_10-18-25.png
Screenshot_from_2024-04-21_10-18-25.png
Attachment 21: Screenshot_from_2024-04-21_10-32-41.png
Screenshot_from_2024-04-21_10-32-41.png
Attachment 22: Screenshot_from_2024-04-21_10-33-08.png
Screenshot_from_2024-04-21_10-33-08.png
Attachment 23: Screenshot_from_2024-04-21_10-33-33.png
Screenshot_from_2024-04-21_10-33-33.png
Attachment 24: Screenshot_from_2024-04-21_14-58-07.png
Screenshot_from_2024-04-21_14-58-07.png
Attachment 25: Screenshot_from_2024-04-21_14-58-31.png
Screenshot_from_2024-04-21_14-58-31.png
Attachment 26: Screenshot_from_2024-04-21_14-58-48.png
Screenshot_from_2024-04-21_14-58-48.png
Attachment 27: Screenshot_from_2024-04-21_14-59-00.png
Screenshot_from_2024-04-21_14-59-00.png
Attachment 28: Screenshot_from_2024-04-21_18-13-44.png
Screenshot_from_2024-04-21_18-13-44.png
Attachment 29: Screenshot_from_2024-04-21_18-14-06.png
Screenshot_from_2024-04-21_18-14-06.png
Attachment 30: Screenshot_from_2024-04-21_18-14-24.png
Screenshot_from_2024-04-21_18-14-24.png
Attachment 31: Screenshot_from_2024-04-21_18-14-40.png
Screenshot_from_2024-04-21_18-14-40.png
Attachment 32: Screenshot_from_2024-04-21_20-54-50.png
Screenshot_from_2024-04-21_20-54-50.png
Attachment 33: Screenshot_from_2024-04-21_20-54-18.png
Screenshot_from_2024-04-21_20-54-18.png
Attachment 34: Screenshot_from_2024-04-21_20-54-03.png
Screenshot_from_2024-04-21_20-54-03.png
Attachment 35: Screenshot_from_2024-04-21_20-53-41.png
Screenshot_from_2024-04-21_20-53-41.png
Attachment 36: Screenshot_from_2024-04-21_20-53-31.png
Screenshot_from_2024-04-21_20-53-31.png
Attachment 37: Screenshot_from_2024-04-21_21-02-02.png
Screenshot_from_2024-04-21_21-02-02.png
  583   Sat Apr 20 17:52:27 2024 TD, NHSaturday 20 April contd.
Note 40kBq 60Co source placed on bottom surface of snout centred on bPlas detectors and DSSSDs

18.50 Primary beam 750MeV/u Er to S4
      Primary beam stopped by flange (5cm s/steel in position upstream of implantation stack)

      Observe c. 2MeV dE peak in AIDA DSSSD#1 and DSSSD#2 using MIDASsort online analysis - attachment 1



21.50 Primary beam 1000MeV/u Er to S4 - attachment 2

      per DSSSD e_front versus e_back, 20keV/channel
      DSSSD #1 x & y -axis projections

10.50 Overnight stats - attachment 3
      Primary beam ?
      per DSSSD e_front versus e_back, 20keV/channel
      DSSSD #1 x & y -axis projections
      note multiple dE peaks observed in both DSSSDs
Attachment 1: Screenshot_from_2024-04-20_18-51-53.png
Screenshot_from_2024-04-20_18-51-53.png
Attachment 2: Screenshot_from_2024-04-20_21-52-08.png
Screenshot_from_2024-04-20_21-52-08.png
Attachment 3: Screenshot_from_2024-04-21_10-52-19.png
Screenshot_from_2024-04-21_10-52-19.png
  582   Sat Apr 20 11:40:38 2024 TDOffline analysis R12 & R13 ( pulser walkthrough )
S100 ADC offsets using pulser walkthrough data from data files R12 & R13

ch = channel + ( module * 64 ) + ( range * 2048 )

adc_data( ch ) = INT( RSHIFT( ABS( adc_data( ch ) - 32768 ), 3 ) - offset( ch ) + 0.5 )




per p+n FEE64 ADC spectra (aida01-aida16 *not* aida02, aida04, aida06 & aida08) - attachments 1-12

Note common x & y-scales - peak height proxy for peak width

aida09 1.8.L (s520) pulser peak width 7 ch FWHM (~40keV FWHM)




per n+n FEE64 ADC spectra (aida02, aida04, aida06 & aida08) - attachments 13-16

Note common x & y-scales - peak height proxy for peak width

aida02 1.8.L (s72) pulser peak width 16 ch FWHM (~88keV FWHM)




ADC offset analysis

/home/npg/td/Calibration/offsets /home/npg/td/S100/R12-R13 50 1024 20 5


First pass sort variables ( ADC offsets, FEE64 configuration, LEC & HEC equal energy cuts ) - attachment 17
Attachment 1: Screenshot_from_2024-04-20_12-36-14.png
Screenshot_from_2024-04-20_12-36-14.png
Attachment 2: Screenshot_from_2024-04-20_12-36-35.png
Screenshot_from_2024-04-20_12-36-35.png
Attachment 3: Screenshot_from_2024-04-20_12-36-55.png
Screenshot_from_2024-04-20_12-36-55.png
Attachment 4: Screenshot_from_2024-04-20_12-37-21.png
Screenshot_from_2024-04-20_12-37-21.png
Attachment 5: Screenshot_from_2024-04-20_12-37-55.png
Screenshot_from_2024-04-20_12-37-55.png
Attachment 6: Screenshot_from_2024-04-20_12-38-22.png
Screenshot_from_2024-04-20_12-38-22.png
Attachment 7: Screenshot_from_2024-04-20_12-38-47.png
Screenshot_from_2024-04-20_12-38-47.png
Attachment 8: Screenshot_from_2024-04-20_12-39-05.png
Screenshot_from_2024-04-20_12-39-05.png
Attachment 9: Screenshot_from_2024-04-20_12-39-21.png
Screenshot_from_2024-04-20_12-39-21.png
Attachment 10: Screenshot_from_2024-04-20_12-39-34.png
Screenshot_from_2024-04-20_12-39-34.png
Attachment 11: Screenshot_from_2024-04-20_12-39-52.png
Screenshot_from_2024-04-20_12-39-52.png
Attachment 12: Screenshot_from_2024-04-20_12-40-17.png
Screenshot_from_2024-04-20_12-40-17.png
Attachment 13: Screenshot_from_2024-04-20_12-51-16.png
Screenshot_from_2024-04-20_12-51-16.png
Attachment 14: Screenshot_from_2024-04-20_12-51-38.png
Screenshot_from_2024-04-20_12-51-38.png
Attachment 15: Screenshot_from_2024-04-20_12-52-00.png
Screenshot_from_2024-04-20_12-52-00.png
Attachment 16: Screenshot_from_2024-04-20_12-52-53.png
Screenshot_from_2024-04-20_12-52-53.png
Attachment 17: variables.dat
  $variables
  offset(   0) =    34.20
  offset(   1) =    27.50
  offset(   2) =   -18.51
  offset(   3) =    13.56
  offset(   4) =    -6.12
  offset(   5) =   -14.51
  offset(   6) =    11.86
  offset(   7) =    -0.19
  offset(   8) =     2.52
  offset(   9) =    24.94
  offset(  10) =     7.54
  offset(  11) =   -20.01
  offset(  12) =    -0.93
  offset(  13) =    26.51
  offset(  14) =   -12.75
  offset(  15) =    -4.72
  offset(  16) =     5.48
  offset(  17) =    -8.97
  offset(  18) =     4.25
  offset(  19) =    -3.19
  offset(  20) =   -40.78
  offset(  21) =    -0.95
  offset(  22) =   -14.62
  offset(  23) =   -28.18
  offset(  24) =   -12.96
  offset(  25) =    14.90
  offset(  26) =   -32.39
  offset(  27) =     0.66
  offset(  28) =     6.68
  offset(  29) = -9999.99
  offset(  30) =    15.03
  offset(  31) =    -2.98
  offset(  32) =    16.22
  offset(  33) =    27.02
  offset(  34) = -9999.99
  offset(  35) =    17.09
  offset(  36) =    17.72
  offset(  37) =    16.29
  offset(  38) =    23.46
  offset(  39) =    27.55
  offset(  40) =     9.26
  offset(  41) =    34.03
  offset(  42) =     2.46
  offset(  43) =     8.62
  offset(  44) =    29.61
  offset(  45) =   -14.47
  offset(  46) =    42.23
  offset(  47) =   -27.52
  offset(  48) =     1.06
  offset(  49) =     4.03
  offset(  50) =    22.04
  offset(  51) =   -10.44
  offset(  52) =    38.54
  offset(  53) =     8.66
  offset(  54) =    20.65
  offset(  55) =     0.35
  offset(  56) =     5.19
  offset(  57) =    19.55
  offset(  58) =    -5.57
  offset(  59) =    -7.26
  offset(  60) =   -21.96
  offset(  61) =     5.89
  offset(  62) =    36.14
  offset(  63) =     3.05
  offset(  64) =   -15.80
  offset(  65) =    25.02
  offset(  66) =   -15.94
  offset(  67) =     6.19
  offset(  68) =   -19.87
  offset(  69) =     0.37
  offset(  70) =    -9.68
  offset(  71) =     9.68
  offset(  72) =   -11.13
  offset(  73) =    -6.20
  offset(  74) =   -25.35
  offset(  75) =   -25.57
  offset(  76) =   -19.75
  offset(  77) =     8.60
  offset(  78) =   -22.55
  offset(  79) =   -10.56
  offset(  80) =     5.90
  offset(  81) =    -1.29
  offset(  82) =    -1.52
  offset(  83) = -9999.99
  offset(  84) =    24.56
  offset(  85) =   -10.73
  offset(  86) =    11.96
  offset(  87) =   -35.20
  offset(  88) =    16.67
  offset(  89) =    10.31
  offset(  90) =   -13.86
  offset(  91) =   -33.64
  offset(  92) =     2.96
  offset(  93) =    -2.41
  offset(  94) =     1.80
  offset(  95) =    26.72
  offset(  96) =   -18.06
  offset(  97) =    -0.82
  offset(  98) =   -19.61
  offset(  99) = -9999.99
  offset( 100) =   -11.22
  offset( 101) =     9.13
  offset( 102) =   -29.56
  offset( 103) =    -1.95
  offset( 104) =   -14.24
  offset( 105) =   -21.87
  offset( 106) =    -7.47
  offset( 107) =   -14.22
  offset( 108) =    -6.72
  offset( 109) =    -4.35
  offset( 110) =   -12.98
  offset( 111) =   -11.42
  offset( 112) =     5.39
  offset( 113) =    16.43
  offset( 114) =   -10.19
  offset( 115) =   -13.21
  offset( 116) =    19.82
  offset( 117) =    -4.45
  offset( 118) =    21.88
  offset( 119) =    13.84
  offset( 120) =    10.16
  offset( 121) = -9999.99
  offset( 122) = -9999.99
  offset( 123) = -9999.99
  offset( 124) =    13.42
  offset( 125) =     4.74
  offset( 126) =    10.81
  offset( 127) =    16.15
  offset( 128) =    -4.37
  offset( 129) =    21.60
  offset( 130) =     8.61
  offset( 131) =    -2.95
  offset( 132) =   -10.00
  offset( 133) =     2.40
  offset( 134) =    -9.40
  offset( 135) =   -24.68
  offset( 136) =     4.46
  offset( 137) =    -0.20
  offset( 138) =    20.63
  offset( 139) =   -25.14
  offset( 140) =    -7.48
  offset( 141) =    13.71
  offset( 142) =    -4.11
  offset( 143) =    -9.17
  offset( 144) =    15.36
  offset( 145) =    11.07
  offset( 146) =   -13.21
  offset( 147) =    -8.91
  offset( 148) =     4.75
  offset( 149) =   -10.47
  offset( 150) =    18.10
  offset( 151) =     0.62
  offset( 152) =    -2.18
  offset( 153) =     5.79
  offset( 154) =     2.73
  offset( 155) =    17.67
  offset( 156) =    15.58
  offset( 157) =   -34.45
  offset( 158) =    -1.55
  offset( 159) =    12.93
  offset( 160) =     4.16
  offset( 161) =   -15.55
  offset( 162) =    17.51
  offset( 163) =    -5.30
  offset( 164) =     8.65
  offset( 165) =   -13.48
  offset( 166) =   -10.14
  offset( 167) =    -3.75
  offset( 168) =    12.28
  offset( 169) =   -23.31
  offset( 170) =   -11.44
  offset( 171) =     5.06
  offset( 172) =   -15.18
  offset( 173) =    17.88
  offset( 174) =    12.26
  offset( 175) =   -13.64
  offset( 176) =    -9.33
  offset( 177) =    21.57
  offset( 178) =    28.82
  offset( 179) =    27.67
  offset( 180) =    62.22
  offset( 181) =    -2.89
  offset( 182) =    17.11
  offset( 183) =    11.80
  offset( 184) =    17.26
  offset( 185) =    15.52
  offset( 186) =    -8.89
  offset( 187) =    15.53
  offset( 188) =   -11.80
  offset( 189) =     0.85
  offset( 190) =    11.21
  offset( 191) =     7.76
  offset( 192) =     5.12
  offset( 193) =    26.29
  offset( 194) = -9999.99
  offset( 195) =     7.45
  offset( 196) = -9999.99
  offset( 197) =     2.31
  offset( 198) =    -1.94
  offset( 199) = -9999.99
  offset( 200) =    -0.41
  offset( 201) =   -23.18
  offset( 202) =    -1.82
  offset( 203) =    17.39
  offset( 204) =   -10.40
  offset( 205) =   -13.42
  offset( 206) =     8.22
  offset( 207) =     2.93
  offset( 208) =   -56.48
  offset( 209) =   -10.42
  offset( 210) =    50.72
  offset( 211) =     0.45
  offset( 212) =    27.74
  offset( 213) =   -16.62
  offset( 214) =    15.39
  offset( 215) =   -13.44
  offset( 216) =    34.58
  offset( 217) =    15.20
  offset( 218) =    -3.05
  offset( 219) =    -0.28
  offset( 220) =   -40.52
  offset( 221) =   -31.47
  offset( 222) =    20.29
  offset( 223) =   -13.69
  offset( 224) =    -1.11
  offset( 225) =    33.58
  offset( 226) =   -16.57
  offset( 227) =    -4.95
  offset( 228) =   -11.93
  offset( 229) =     7.06
  offset( 230) =    -2.90
  offset( 231) =   -38.90
  offset( 232) =    -8.84
  offset( 233) =     1.20
  offset( 234) =     3.21
  offset( 235) =    17.26
  offset( 236) =     4.76
  offset( 237) =    13.15
  offset( 238) =    13.66
  offset( 239) =    -2.42
  offset( 240) =    -1.46
  offset( 241) =     0.03
  offset( 242) =    20.72
  offset( 243) =    -0.74
  offset( 244) =    -2.03
  offset( 245) =   -36.41
  offset( 246) =     5.31
  offset( 247) =     3.45
  offset( 248) =    -9.35
  offset( 249) =     8.61
  offset( 250) =     6.18
  offset( 251) =   -72.01
  offset( 252) =    11.53
  offset( 253) =   -13.74
  offset( 254) =    -2.41
  offset( 255) =   -16.47
  offset( 256) =     6.19
  offset( 257) =    13.35
  offset( 258) =    10.44
  offset( 259) =    16.45
  offset( 260) =    13.62
  offset( 261) =    36.59
  offset( 262) =    24.89
  offset( 263) =    11.04
  offset( 264) =    12.12
  offset( 265) =    -1.04
  offset( 266) =    20.55
  offset( 267) =   -19.88
  offset( 268) =    10.57
  offset( 269) =    31.05
  offset( 270) =    23.09
  offset( 271) =   -17.38
  offset( 272) =   -18.40
  offset( 273) =    16.00
  offset( 274) =    -5.59
  offset( 275) =    -3.98
  offset( 276) =     0.70
  offset( 277) =     4.32
  offset( 278) =   -13.61
  offset( 279) =    26.62
  offset( 280) =    17.81
  offset( 281) =     7.10
  offset( 282) =   -30.97
  offset( 283) =    13.50
  offset( 284) =    88.96
  offset( 285) =    -0.03
  offset( 286) =     8.64
  offset( 287) =     1.86
  offset( 288) =     4.27
  offset( 289) =    19.21
  offset( 290) =   -33.21
  offset( 291) =   -28.54
  offset( 292) =     7.98
  offset( 293) =    -8.69
  offset( 294) =     1.27
  offset( 295) =    20.08
  offset( 296) =    15.92
  offset( 297) =    -4.67
  offset( 298) =    30.68
... 1771 more lines ...
  581   Sat Apr 20 03:20:23 2024 TDSaturday 20 April
Note 60Co source (current activity c. 43kBq) was installed yesterday evening - assume it is positioned on the bottom side of the snout as per the other sources used this week/

04.17 DSSSD bias & leakage current OK - Grafana - attachment 1

      FEE64 temperatures OK - attachment 2
       aida02 ASIC temp known to be u/s

      ADC data item stats - attachment 3
       all FEE64 slow comparators 0x14 from yesterday's pulser walkthroughs

      per FEE64 Rate spectra - attachment 4

      Merger etc - attachment 5


11.24 DSSSD bias & leakage current OK - Grafana - attachment 6

      FEE64 temperatures OK - attachment 7
       aida02 ASIC temp known to be u/s

      ADC data item stats - attachment 8
       all FEE64 slow comparators 0x14 from yesterday's pulser walkthroughs

      per FEE64 Rate spectra - attachment 9

      Merger etc - attachment 10


17.50 DSSSD bias & leakage current OK - Grafana - attachment 11

      FEE64 temperatures OK - attachment 12
       aida02 ASIC temp known to be u/s

      ALl syhstem wide checks OK *except* WR/FPGA errors - attachments 13-14

      WR timestamps OK - attachment 15

      per FEE64 Rate spectra - attachment 16

      per FEE64 1.8.W spectra - 20us FSR - attachments 17-18

      Merger, TapeServer, Data Links - attachments 19-20
       no data storage

      ADC data item stats - attachment 21
       all FEE64 slow comparators 0x14 from yesterday's pulser walkthroughs


18.01 Grafana alerting shows detail of DSSSD leakage current and S4 temperature variations over time - attachment  22
       DEGAS LN2 fill cycle every 6h 10.00 - 16.00 - 22.00 ... etc

https://despec-vm-01.gsi.de/grafana/d/tHRVJYfGz/aida-alerting?orgId=1
Attachment 1: Screenshot_from_2024-04-20_04-17-19.png
Screenshot_from_2024-04-20_04-17-19.png
Attachment 2: Screenshot_from_2024-04-20_04-17-52.png
Screenshot_from_2024-04-20_04-17-52.png
Attachment 3: Screenshot_from_2024-04-20_04-18-26.png
Screenshot_from_2024-04-20_04-18-26.png
Attachment 4: Screenshot_from_2024-04-20_04-19-13.png
Screenshot_from_2024-04-20_04-19-13.png
Attachment 5: Screenshot_from_2024-04-20_04-19-58.png
Screenshot_from_2024-04-20_04-19-58.png
Attachment 6: Screenshot_from_2024-04-20_11-25-02.png
Screenshot_from_2024-04-20_11-25-02.png
Attachment 7: Screenshot_from_2024-04-20_11-25-54.png
Screenshot_from_2024-04-20_11-25-54.png
Attachment 8: Screenshot_from_2024-04-20_11-26-43.png
Screenshot_from_2024-04-20_11-26-43.png
Attachment 9: Screenshot_from_2024-04-20_11-27-20.png
Screenshot_from_2024-04-20_11-27-20.png
Attachment 10: Screenshot_from_2024-04-20_11-28-05.png
Screenshot_from_2024-04-20_11-28-05.png
Attachment 11: Screenshot_from_2024-04-20_17-50-12.png
Screenshot_from_2024-04-20_17-50-12.png
Attachment 12: Screenshot_from_2024-04-20_17-50-38.png
Screenshot_from_2024-04-20_17-50-38.png
Attachment 13: Screenshot_from_2024-04-20_17-51-20.png
Screenshot_from_2024-04-20_17-51-20.png
Attachment 14: Screenshot_from_2024-04-20_17-51-03.png
Screenshot_from_2024-04-20_17-51-03.png
Attachment 15: Screenshot_from_2024-04-20_17-54-41.png
Screenshot_from_2024-04-20_17-54-41.png
Attachment 16: Screenshot_from_2024-04-20_17-51-58.png
Screenshot_from_2024-04-20_17-51-58.png
Attachment 17: Screenshot_from_2024-04-20_17-52-58.png
Screenshot_from_2024-04-20_17-52-58.png
Attachment 18: Screenshot_from_2024-04-20_17-52-26.png
Screenshot_from_2024-04-20_17-52-26.png
Attachment 19: Screenshot_from_2024-04-20_17-53-32.png
Screenshot_from_2024-04-20_17-53-32.png
Attachment 20: Screenshot_from_2024-04-20_17-53-24.png
Screenshot_from_2024-04-20_17-53-24.png
Attachment 21: Screenshot_from_2024-04-20_17-53-15.png
Screenshot_from_2024-04-20_17-53-15.png
Attachment 22: Screenshot_from_2024-04-20_17-51-37.png
Screenshot_from_2024-04-20_17-51-37.png
Attachment 23: Screenshot_from_2024-04-20_18-05-01.png
Screenshot_from_2024-04-20_18-05-01.png
  580   Fri Apr 19 13:52:34 2024 JB, CC, TDFriday 19 April contd.
12.00 Replaced AIDA ASIC mezzanine of aida01 to fix issue with asic #3

      During replacement the HDMI connector of the adjacent FEE64 aida09 became disconnected from the FEE64 PCB
      aida09 ( MAC ee:10 ) replaced (MAC 41:cf:ad )

      AIDA FEE64 adaptor PCBs for aida01, aida14 and aida09 disconnected and re-connected during this process 

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temps OK - attachment 2
       *except* aida02 ASIC temp - known fault

      System wide checks OK *except* WR/FPGA errors - attachments 3-4

      WR timestamps OK - attachment 5

      ADC, DISC, PAUSE, RESUME and correlation data stats - attachments 6-10
       ADC data items 10/16 < 20k, max 143k

      per FEE64 Rate spectra - attachment 11

      per FEE64 1.8.W spectra - 20us FSR - attachments 12-13


15.10 Synchronise ASIC clocks
      Re-calibrate ALL ADCs 

      ASIC settings 2024Mar27-11.25.32

      Changes ( https://elog.ph.ed.ac.uk/CARME/499 )

       IBias LF feedback from 0xf to 0x8
       Diode link threshold from 0xbf to 0xca

      New ASIC settings saved as 2024Apr19-15.22.49

      All FEE64 slow comparators -> 0x14

      Data file S100_alpha/R12

      Pulser ealkthrough (test +)

      BNC PB-5 settings
 
      Amplitude 10.0-1.0V @ 1.0V step
      Attenuation x10
      Frequency 25Hz
      Polarity +
      Tail pulse
      tau_d 1ms

16.35 DAQ ends OK file S100_alpha/R12_24

      per p+n FEE64 1.8.L spectra - attachment 14
       aida09 pulser peak width 54 ch FWHM 

17.38 Data file S100_alpha/R13

      Pulser walkthrough (test -)

      BNC PB-5 settings
 
      Amplitude 10.0-1.0V @ 1.0V step
      Attenuation x10
      Frequency 25Hz
      Polarity -
      Tail pulse
      tau_d 1ms

18.43 DAQ ends OK file S100_alpha/R13_20

      per p+n FEE64 1.8.L spectra - attachment 15

18.55 Current status

      FEE64 power ON
      DSSSD bias ON

      All FEE64 slow comparator 0x14

      DAQ going -> Merger -> TapeServer (no storage mode) -> MBS ( but data stream not yet being read by MBS )


To Do

- S4 currently closed/controlled access for FRS startup

If we have access to S4 tomorrow

- switch test - to test + ( not critical )
- test AIDA interlock 
- further alpha background?



22.47 DSSSD bias & leakage current OK - attachments 16-17

      FEE64 temps OK - attachment 18
       *except* aida02 ASIC temp - known fault

      ADC data item stats - attachment 19

      per FEE64 Rate spectra - attachment 20

      Data link, Tape Server & Merger - attachments 21-23

       
Attachment 1: Screenshot_from_2024-04-19_14-56-51.png
Screenshot_from_2024-04-19_14-56-51.png
Attachment 2: Screenshot_from_2024-04-19_14-57-04.png
Screenshot_from_2024-04-19_14-57-04.png
Attachment 3: Screenshot_from_2024-04-19_14-58-27.png
Screenshot_from_2024-04-19_14-58-27.png
Attachment 4: Screenshot_from_2024-04-19_14-58-23.png
Screenshot_from_2024-04-19_14-58-23.png
Attachment 5: Screenshot_from_2024-04-19_14-59-02.png
Screenshot_from_2024-04-19_14-59-02.png
Attachment 6: Screenshot_from_2024-04-19_15-01-31.png
Screenshot_from_2024-04-19_15-01-31.png
Attachment 7: Screenshot_from_2024-04-19_15-01-17.png
Screenshot_from_2024-04-19_15-01-17.png
Attachment 8: Screenshot_from_2024-04-19_15-01-02.png
Screenshot_from_2024-04-19_15-01-02.png
Attachment 9: Screenshot_from_2024-04-19_15-00-39.png
Screenshot_from_2024-04-19_15-00-39.png
Attachment 10: Screenshot_from_2024-04-19_14-59-51.png
Screenshot_from_2024-04-19_14-59-51.png
Attachment 11: Screenshot_from_2024-04-19_15-02-09.png
Screenshot_from_2024-04-19_15-02-09.png
Attachment 12: Screenshot_from_2024-04-19_15-04-36.png
Screenshot_from_2024-04-19_15-04-36.png
Attachment 13: Screenshot_from_2024-04-19_15-03-48.png
Screenshot_from_2024-04-19_15-03-48.png
Attachment 14: Screenshot_from_2024-04-19_16-56-36.png
Screenshot_from_2024-04-19_16-56-36.png
Attachment 15: Screenshot_from_2024-04-19_18-45-05.png
Screenshot_from_2024-04-19_18-45-05.png
Attachment 16: Screenshot_from_2024-04-19_22-47-43.png
Screenshot_from_2024-04-19_22-47-43.png
Attachment 17: Screenshot_from_2024-04-19_22-48-52.png
Screenshot_from_2024-04-19_22-48-52.png
Attachment 18: Screenshot_from_2024-04-19_22-49-31.png
Screenshot_from_2024-04-19_22-49-31.png
Attachment 19: Screenshot_from_2024-04-19_22-50-15.png
Screenshot_from_2024-04-19_22-50-15.png
Attachment 20: Screenshot_from_2024-04-19_22-51-23.png
Screenshot_from_2024-04-19_22-51-23.png
Attachment 21: Screenshot_from_2024-04-19_22-52-39.png
Screenshot_from_2024-04-19_22-52-39.png
Attachment 22: Screenshot_from_2024-04-19_22-52-14.png
Screenshot_from_2024-04-19_22-52-14.png
Attachment 23: Screenshot_from_2024-04-19_22-51-55.png
Screenshot_from_2024-04-19_22-51-55.png
  579   Fri Apr 19 02:35:14 2024 TDFriday 19 April
03.30


09.10



09.25 data file S100_alpha/R11
   
      BNC PB-5 pulser data

      amplitude 10.0V
      attenuation x10
      tau_d = 1ms
      polarity - ( initially + )
      frequency 25Hz

      ASIC settings2024Mar27-11.25.32
       slow comparator 0x64 all FEE64s


      aida02 pulser peak width 129 ch FWHM

      polsrity +

      aida09 pulser peak width 57 ch FWHM


09.40 switch to no storage

      p+n FEE64 slow comparators 0x64 -> 0xa
      n+n FEE64 slow comparators 0x64 -> 0xf

      radioactive source 152Eu 370kBq installed on the bottom side of the snout, centred tranversely, located beneath bplas-DSSSD-DSSSD-bplas position.

      ADC data item stats - attachment 35
      9/16 < 20k, max 108k

      per FEE64 Rate spectra - attachment 36

10.44 152Eu source removed

      ADC data item stats - attachment 37
      9/16 < 20k, max 102k

      per FEE64 Rate spectra - attachment 38
Attachment 1: Screenshot_from_2024-04-19_03-31-31.png
Screenshot_from_2024-04-19_03-31-31.png
Attachment 2: Screenshot_from_2024-04-19_03-30-58.png
Screenshot_from_2024-04-19_03-30-58.png
Attachment 3: Screenshot_from_2024-04-19_03-30-45.png
Screenshot_from_2024-04-19_03-30-45.png
Attachment 4: Screenshot_from_2024-04-19_03-27-45.png
Screenshot_from_2024-04-19_03-27-45.png
Attachment 5: Screenshot_from_2024-04-19_03-26-26.png
Screenshot_from_2024-04-19_03-26-26.png
Attachment 6: Screenshot_from_2024-04-19_03-25-53.png
Screenshot_from_2024-04-19_03-25-53.png
Attachment 7: Screenshot_from_2024-04-19_03-24-58.png
Screenshot_from_2024-04-19_03-24-58.png
Attachment 8: Screenshot_from_2024-04-19_09-08-46.png
Screenshot_from_2024-04-19_09-08-46.png
Attachment 9: Screenshot_from_2024-04-19_09-09-19.png
Screenshot_from_2024-04-19_09-09-19.png
Attachment 10: Screenshot_from_2024-04-19_09-10-19.png
Screenshot_from_2024-04-19_09-10-19.png
Attachment 11: Screenshot_from_2024-04-19_09-10-10.png
Screenshot_from_2024-04-19_09-10-10.png
Attachment 12: Screenshot_from_2024-04-19_09-10-03.png
Screenshot_from_2024-04-19_09-10-03.png
Attachment 13: Screenshot_from_2024-04-19_09-10-51.png
Screenshot_from_2024-04-19_09-10-51.png
Attachment 14: Screenshot_from_2024-04-19_09-11-08.png
Screenshot_from_2024-04-19_09-11-08.png
Attachment 15: Screenshot_from_2024-04-19_09-10-59.png
Screenshot_from_2024-04-19_09-10-59.png
Attachment 16: Screenshot_from_2024-04-19_09-11-20.png
Screenshot_from_2024-04-19_09-11-20.png
Attachment 17: Screenshot_from_2024-04-19_09-11-46.png
Screenshot_from_2024-04-19_09-11-46.png
Attachment 18: Screenshot_from_2024-04-19_09-11-31.png
Screenshot_from_2024-04-19_09-11-31.png
Attachment 19: Screenshot_from_2024-04-19_09-17-48.png
Screenshot_from_2024-04-19_09-17-48.png
Attachment 20: Screenshot_from_2024-04-19_09-17-22.png
Screenshot_from_2024-04-19_09-17-22.png
Attachment 21: Screenshot_from_2024-04-19_09-14-14.png
Screenshot_from_2024-04-19_09-14-14.png
Attachment 22: Screenshot_from_2024-04-19_09-13-23.png
Screenshot_from_2024-04-19_09-13-23.png
Attachment 23: Screenshot_from_2024-04-19_09-12-08.png
Screenshot_from_2024-04-19_09-12-08.png
Attachment 24: Screenshot_from_2024-04-19_09-12-01.png
Screenshot_from_2024-04-19_09-12-01.png
Attachment 25: Screenshot_from_2024-04-19_09-11-54.png
Screenshot_from_2024-04-19_09-11-54.png
Attachment 26: Screenshot_from_2024-04-19_09-18-40.png
Screenshot_from_2024-04-19_09-18-40.png
Attachment 27: Screenshot_from_2024-04-19_09-18-22.png
Screenshot_from_2024-04-19_09-18-22.png
Attachment 28: Screenshot_from_2024-04-19_09-18-01.png
Screenshot_from_2024-04-19_09-18-01.png
Attachment 29: Screenshot_from_2024-04-19_09-29-33.png
Screenshot_from_2024-04-19_09-29-33.png
Attachment 30: Screenshot_from_2024-04-19_09-27-40.png
Screenshot_from_2024-04-19_09-27-40.png
Attachment 31: Screenshot_from_2024-04-19_09-42-01.png
Screenshot_from_2024-04-19_09-42-01.png
Attachment 32: Screenshot_from_2024-04-19_09-41-31.png
Screenshot_from_2024-04-19_09-41-31.png
Attachment 33: Screenshot_from_2024-04-19_09-40-02.png
Screenshot_from_2024-04-19_09-40-02.png
Attachment 34: Screenshot_from_2024-04-19_09-37-03.png
Screenshot_from_2024-04-19_09-37-03.png
Attachment 35: Screenshot_from_2024-04-19_09-46-35.png
Screenshot_from_2024-04-19_09-46-35.png
Attachment 36: Screenshot_from_2024-04-19_09-47-08.png
Screenshot_from_2024-04-19_09-47-08.png
Attachment 37: Screenshot_from_2024-04-19_10-44-19.png
Screenshot_from_2024-04-19_10-44-19.png
Attachment 38: Screenshot_from_2024-04-19_10-43-58.png
Screenshot_from_2024-04-19_10-43-58.png
  578   Thu Apr 18 13:59:55 2024 TD, JBWR timestamp OK, readout time not OK
WR timestamps appear to be OK but readout times fort aida03, aida04 and aida09 appear to be out of sequence. Attachment 1-3.

Reseated HDMI cable of aida09 and performed complete powercycle. Check the MACB HDMI port (appears to be loose) - still no change aida09 not collecting WR timestamps. Attachment 4-5.
Attachment 1: Screenshot_from_2024-04-18_14-59-38.png
Screenshot_from_2024-04-18_14-59-38.png
Attachment 2: Screenshot_from_2024-04-18_15-01-15.png
Screenshot_from_2024-04-18_15-01-15.png
Attachment 3: Screenshot_from_2024-04-18_15-01-10.png
Screenshot_from_2024-04-18_15-01-10.png
Attachment 4: Screenshot_from_2024-04-18_16-13-55.png
Screenshot_from_2024-04-18_16-13-55.png
Attachment 5: Screenshot_from_2024-04-18_16-13-48.png
Screenshot_from_2024-04-18_16-13-48.png
  577   Thu Apr 18 08:57:48 2024 JB, TDThursday 18 April
09.52 DAQ continues file S100_alpha/R5
       note merger not functioning correctly due to aida03, aida04 & aida09 out of WR sequence 

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temps OK - attachment 2
      *except* aida02 ASIC temp which is known to be u/s

      WR timestamps - attachment 3
       aida03, aida04 & aida09 out of sequence

      All system wide checks OK *except* ADC calibratio/FPGA/WR decoder status - attachments 4-6

      ADC data item stats - attachment 7

      per FEE64 Stat spectra - attachment 8

      per FEE64 1.8.L spectra - attachments 9-10

      per FEE64 1.8.W spectra - 20us FSR - attachments 11-12

      Merger, TapeServer & Link Data Rates - attachments 13-15

      Grafana - attachment 16
       DSSSD bias/leakage current trends OK


19.15 alpha background file S100_alpha/R10

      DSSSD bias & leakage current OK - attachment 17

      FEE64 temps OK - attachment 18
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* ADC calibratio/FPGA/WR decoder status - attachments 19-21

      WR timestamps OK - attachment 22

      ADC data item stats - attachment 23

      Merger, TapeServer & Meger stats - attachments 24-26
       data rate c. 2.8Mb/s

      per FEE64 1.8.W spectra - 20us FSR - attachments 27-28

      correlation scaler stats & data link stats - attachments 29-30
       data rate dominated by MACB scaler inputs aida03 & aida04 ( c. 200kHz each )


22.16 DAQ continues Ok file S100_alpha/R10_35

      Merger, TapeServer & Meger stats - attachments 31-33
       data rate c. 2.8Mb/s

      ADC data item stats - attachment 34

      FEE64 temps OK - attachment 35
      *except* aida02 ASIC temp which is known to be u/s

      DSSSD bias & leakage current OK - attachment 36
Attachment 1: Screenshot_from_2024-04-18_09-52-14.png
Screenshot_from_2024-04-18_09-52-14.png
Attachment 2: Screenshot_from_2024-04-18_09-52-28.png
Screenshot_from_2024-04-18_09-52-28.png
Attachment 3: Screenshot_from_2024-04-18_09-53-30.png
Screenshot_from_2024-04-18_09-53-30.png
Attachment 4: Screenshot_from_2024-04-18_09-52-57.png
Screenshot_from_2024-04-18_09-52-57.png
Attachment 5: Screenshot_from_2024-04-18_09-52-45.png
Screenshot_from_2024-04-18_09-52-45.png
Attachment 6: Screenshot_from_2024-04-18_09-52-40.png
Screenshot_from_2024-04-18_09-52-40.png
Attachment 7: Screenshot_from_2024-04-18_09-53-48.png
Screenshot_from_2024-04-18_09-53-48.png
Attachment 8: Screenshot_from_2024-04-18_09-56-18.png
Screenshot_from_2024-04-18_09-56-18.png
Attachment 9: Screenshot_from_2024-04-18_09-55-07.png
Screenshot_from_2024-04-18_09-55-07.png
Attachment 10: Screenshot_from_2024-04-18_09-54-11.png
Screenshot_from_2024-04-18_09-54-11.png
Attachment 11: Screenshot_from_2024-04-18_09-57-30.png
Screenshot_from_2024-04-18_09-57-30.png
Attachment 12: Screenshot_from_2024-04-18_09-56-48.png
Screenshot_from_2024-04-18_09-56-48.png
Attachment 13: Screenshot_from_2024-04-18_09-59-16.png
Screenshot_from_2024-04-18_09-59-16.png
Attachment 14: Screenshot_from_2024-04-18_09-59-26.png
Screenshot_from_2024-04-18_09-59-26.png
Attachment 15: Screenshot_from_2024-04-18_09-59-38.png
Screenshot_from_2024-04-18_09-59-38.png
Attachment 16: Screenshot_from_2024-04-18_10-10-43.png
Screenshot_from_2024-04-18_10-10-43.png
Attachment 17: Screenshot_from_2024-04-18_19-12-32.png
Screenshot_from_2024-04-18_19-12-32.png
Attachment 18: Screenshot_from_2024-04-18_19-12-47.png
Screenshot_from_2024-04-18_19-12-47.png
Attachment 19: Screenshot_from_2024-04-18_19-13-11.png
Screenshot_from_2024-04-18_19-13-11.png
Attachment 20: Screenshot_from_2024-04-18_19-13-04.png
Screenshot_from_2024-04-18_19-13-04.png
Attachment 21: Screenshot_from_2024-04-18_19-12-57.png
Screenshot_from_2024-04-18_19-12-57.png
Attachment 22: Screenshot_from_2024-04-18_19-13-51.png
Screenshot_from_2024-04-18_19-13-51.png
Attachment 23: Screenshot_from_2024-04-18_19-14-02.png
Screenshot_from_2024-04-18_19-14-02.png
Attachment 24: Screenshot_from_2024-04-18_19-15-10.png
Screenshot_from_2024-04-18_19-15-10.png
Attachment 25: Screenshot_from_2024-04-18_19-14-32.png
Screenshot_from_2024-04-18_19-14-32.png
Attachment 26: Screenshot_from_2024-04-18_19-14-12.png
Screenshot_from_2024-04-18_19-14-12.png
Attachment 27: Screenshot_from_2024-04-18_19-12-14.png
Screenshot_from_2024-04-18_19-12-14.png
Attachment 28: Screenshot_from_2024-04-18_19-11-30.png
Screenshot_from_2024-04-18_19-11-30.png
Attachment 29: Screenshot_from_2024-04-18_19-31-12.png
Screenshot_from_2024-04-18_19-31-12.png
Attachment 30: Screenshot_from_2024-04-18_19-41-59.png
Screenshot_from_2024-04-18_19-41-59.png
Attachment 31: Screenshot_from_2024-04-18_22-16-42.png
Screenshot_from_2024-04-18_22-16-42.png
Attachment 32: Screenshot_from_2024-04-18_22-16-04.png
Screenshot_from_2024-04-18_22-16-04.png
Attachment 33: Screenshot_from_2024-04-18_22-15-41.png
Screenshot_from_2024-04-18_22-15-41.png
Attachment 34: Screenshot_from_2024-04-18_22-12-53.png
Screenshot_from_2024-04-18_22-12-53.png
Attachment 35: Screenshot_from_2024-04-18_22-12-13.png
Screenshot_from_2024-04-18_22-12-13.png
Attachment 36: Screenshot_from_2024-04-18_22-10-53.png
Screenshot_from_2024-04-18_22-10-53.png
  576   Tue Apr 16 23:37:58 2024 TDWednesday 17 April
00.40 Observed zero data fron aida03, aida04, aida09
      WR timestamps offset from other FEE64s

      rebooted aida03, aida04, aida09
      no change
      see attachments 14-17



18.25 rebooted aida03, aida04, aida09, aida15

      system wide checks OK *except* aida15 ADC not calibrated, FPGA decoder errors aida02, aida03
      ADC data item stats - attachment 28
      WR timestamps OK - attachment 29

      data file S100_alpha/R5 - alpha background

      Merger and TapeServer - attachments 30-31
       c. 1Mb/s to disk

21.05 FEE64 temps OK - attachment 44
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* aida15 ASDC not calibrated, WR timestam/FPGA errors - attachments 41-43

      WR timestamps- attachment 40
       aida03, aida04 and aida09 differ from other timestamps again

      Merger and TapeServer - attachments 38-39
       c. 0Mb/s to disk due to WR timestamp issues

      per 1.8.W spectra - 20us FSR - attachments 36-37

      per FEE64 Rate spectra - attachments 34-35

      per FEE64 1.8.L spectra - attachments 32-33
Attachment 1: Screenshot_from_2024-04-17_00-35-53.png
Screenshot_from_2024-04-17_00-35-53.png
Attachment 2: Screenshot_from_2024-04-17_00-34-46.png
Screenshot_from_2024-04-17_00-34-46.png
Attachment 3: Screenshot_from_2024-04-17_00-33-46.png
Screenshot_from_2024-04-17_00-33-46.png
Attachment 4: Screenshot_from_2024-04-17_00-32-53.png
Screenshot_from_2024-04-17_00-32-53.png
Attachment 5: Screenshot_from_2024-04-17_00-31-43.png
Screenshot_from_2024-04-17_00-31-43.png
Attachment 6: Screenshot_from_2024-04-17_00-30-24.png
Screenshot_from_2024-04-17_00-30-24.png
Attachment 7: Screenshot_from_2024-04-17_00-29-34.png
Screenshot_from_2024-04-17_00-29-34.png
Attachment 8: Screenshot_from_2024-04-17_00-28-43.png
Screenshot_from_2024-04-17_00-28-43.png
Attachment 9: Screenshot_from_2024-04-17_00-27-54.png
Screenshot_from_2024-04-17_00-27-54.png
Attachment 10: Screenshot_from_2024-04-17_00-27-31.png
Screenshot_from_2024-04-17_00-27-31.png
Attachment 11: Screenshot_from_2024-04-17_00-27-05.png
Screenshot_from_2024-04-17_00-27-05.png
Attachment 12: Screenshot_from_2024-04-17_00-26-32.png
Screenshot_from_2024-04-17_00-26-32.png
Attachment 13: Screenshot_from_2024-04-17_00-25-51.png
Screenshot_from_2024-04-17_00-25-51.png
Attachment 14: Screenshot_from_2024-04-17_01-05-47.png
Screenshot_from_2024-04-17_01-05-47.png
Attachment 15: Screenshot_from_2024-04-17_01-05-09.png
Screenshot_from_2024-04-17_01-05-09.png
Attachment 16: Screenshot_from_2024-04-17_01-01-20.png
Screenshot_from_2024-04-17_01-01-20.png
Attachment 17: Screenshot_from_2024-04-17_01-00-33.png
Screenshot_from_2024-04-17_01-00-33.png
Attachment 18: Screenshot_from_2024-04-17_18-00-08.png
Screenshot_from_2024-04-17_18-00-08.png
Attachment 19: Screenshot_from_2024-04-17_17-59-42.png
Screenshot_from_2024-04-17_17-59-42.png
Attachment 20: Screenshot_from_2024-04-17_17-58-30.png
Screenshot_from_2024-04-17_17-58-30.png
Attachment 21: Screenshot_from_2024-04-17_17-57-46.png
Screenshot_from_2024-04-17_17-57-46.png
Attachment 22: Screenshot_from_2024-04-17_17-57-12.png
Screenshot_from_2024-04-17_17-57-12.png
Attachment 23: Screenshot_from_2024-04-17_17-56-27.png
Screenshot_from_2024-04-17_17-56-27.png
Attachment 24: Screenshot_from_2024-04-17_17-55-54.png
Screenshot_from_2024-04-17_17-55-54.png
Attachment 25: Screenshot_from_2024-04-17_17-55-33.png
Screenshot_from_2024-04-17_17-55-33.png
Attachment 26: Screenshot_from_2024-04-17_17-54-35.png
Screenshot_from_2024-04-17_17-54-35.png
Attachment 27: Screenshot_from_2024-04-17_17-54-04.png
Screenshot_from_2024-04-17_17-54-04.png
Attachment 28: Screenshot_from_2024-04-17_18-23-26.png
Screenshot_from_2024-04-17_18-23-26.png
Attachment 29: Screenshot_from_2024-04-17_18-22-42.png
Screenshot_from_2024-04-17_18-22-42.png
Attachment 30: Screenshot_from_2024-04-17_18-25-37.png
Screenshot_from_2024-04-17_18-25-37.png
Attachment 31: Screenshot_from_2024-04-17_18-25-08.png
Screenshot_from_2024-04-17_18-25-08.png
Attachment 32: Screenshot_from_2024-04-17_21-06-56.png
Screenshot_from_2024-04-17_21-06-56.png
Attachment 33: Screenshot_from_2024-04-17_21-05-45.png
Screenshot_from_2024-04-17_21-05-45.png
Attachment 34: Screenshot_from_2024-04-17_21-04-52.png
Screenshot_from_2024-04-17_21-04-52.png
Attachment 35: Screenshot_from_2024-04-17_21-04-17.png
Screenshot_from_2024-04-17_21-04-17.png
Attachment 36: Screenshot_from_2024-04-17_21-03-15.png
Screenshot_from_2024-04-17_21-03-15.png
Attachment 37: Screenshot_from_2024-04-17_21-02-29.png
Screenshot_from_2024-04-17_21-02-29.png
Attachment 38: Screenshot_from_2024-04-17_21-01-57.png
Screenshot_from_2024-04-17_21-01-57.png
Attachment 39: Screenshot_from_2024-04-17_21-01-32.png
Screenshot_from_2024-04-17_21-01-32.png
Attachment 40: Screenshot_from_2024-04-17_21-00-34.png
Screenshot_from_2024-04-17_21-00-34.png
Attachment 41: Screenshot_from_2024-04-17_20-59-40.png
Screenshot_from_2024-04-17_20-59-40.png
Attachment 42: Screenshot_from_2024-04-17_20-59-16.png
Screenshot_from_2024-04-17_20-59-16.png
Attachment 43: Screenshot_from_2024-04-17_20-58-54.png
Screenshot_from_2024-04-17_20-58-54.png
Attachment 44: Screenshot_from_2024-04-17_20-58-02.png
Screenshot_from_2024-04-17_20-58-02.png
  575   Tue Apr 16 10:49:37 2024 TD, JB, CCTuesday 16 April
11.45 DSSSD bias & leakage current OK - attachments 9-10

      FEE64 temps OK - attachment 8
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* aida02 and aida04 WR decoder/FPGA status errors - attachments 6-7
       HDMI cabling disturbed during platform move?

      WR timestamps OK - attachment 5

      ADC data item stats - attachment 4
        10/16 < 20k, max 115k

      per FEE64 Rate spectra - attachments 3

      per 1.8.W spectra - 20us FSR - attachments 1-2

11.58 BB7 preamps unplugged. Noise conditions OK. Did not change considerably.
      11/16 < 20k (aida09 has 1 hot channel), max 114k Attachments 11-12.

12:34 Detector powered down to bring to safe state to check HDMI cables of aida02 and aida03.

15:06 Reseated aida02 and aida03. No more FPGA timestamp error. See attachment 13-14.

      Rates and histograms look good for pulser walk through. Test + and test - connected. Currently, Attachment 16-17.
      Temp OK Attachment 15. Bias OK Attachment 18.

      Data links aida02 and aida08 missing (?)

16:30 All slow comparator thresholds set to 0x64 for alpha background run during platform freeze.

      Initial rates and histograms attachment 19 - 20. aida04 noisy in ASIC 1.

      Temps OK. Attachment 21.
      MBS disc and tape setup in atttachment 22-23.
Attachment 1: Screenshot_from_2024-04-16_11-49-17.png
Screenshot_from_2024-04-16_11-49-17.png
Attachment 2: Screenshot_from_2024-04-16_11-48-28.png
Screenshot_from_2024-04-16_11-48-28.png
Attachment 3: Screenshot_from_2024-04-16_11-47-40.png
Screenshot_from_2024-04-16_11-47-40.png
Attachment 4: Screenshot_from_2024-04-16_11-46-48.png
Screenshot_from_2024-04-16_11-46-48.png
Attachment 5: Screenshot_from_2024-04-16_11-46-01.png
Screenshot_from_2024-04-16_11-46-01.png
Attachment 6: Screenshot_from_2024-04-16_11-45-15.png
Screenshot_from_2024-04-16_11-45-15.png
Attachment 7: Screenshot_from_2024-04-16_11-44-37.png
Screenshot_from_2024-04-16_11-44-37.png
Attachment 8: Screenshot_from_2024-04-16_11-44-06.png
Screenshot_from_2024-04-16_11-44-06.png
Attachment 9: Screenshot_from_2024-04-16_11-51-17.png
Screenshot_from_2024-04-16_11-51-17.png
Attachment 10: Screenshot_from_2024-04-16_11-50-22.png
Screenshot_from_2024-04-16_11-50-22.png
Attachment 11: Screenshot_from_2024-04-16_11-58-26.png
Screenshot_from_2024-04-16_11-58-26.png
Attachment 12: Screenshot_from_2024-04-16_11-58-08.png
Screenshot_from_2024-04-16_11-58-08.png
Attachment 13: Screenshot_from_2024-04-16_15-04-13.png
Screenshot_from_2024-04-16_15-04-13.png
Attachment 14: Screenshot_from_2024-04-16_15-04-07.png
Screenshot_from_2024-04-16_15-04-07.png
Attachment 15: Screenshot_from_2024-04-16_15-06-31.png
Screenshot_from_2024-04-16_15-06-31.png
Attachment 16: Screenshot_from_2024-04-16_15-06-12.png
Screenshot_from_2024-04-16_15-06-12.png
Attachment 17: Screenshot_from_2024-04-16_15-05-33.png
Screenshot_from_2024-04-16_15-05-33.png
Attachment 18: Screenshot_from_2024-04-16_15-08-04.png
Screenshot_from_2024-04-16_15-08-04.png
Attachment 19: Screenshot_from_2024-04-16_15-10-46.png
Screenshot_from_2024-04-16_15-10-46.png
Attachment 20: Screenshot_from_2024-04-16_17-17-35.png
Screenshot_from_2024-04-16_17-17-35.png
Attachment 21: Screenshot_from_2024-04-16_17-17-32.png
Screenshot_from_2024-04-16_17-17-32.png
Attachment 22: Screenshot_from_2024-04-16_17-14-40.png
Screenshot_from_2024-04-16_17-14-40.png
Attachment 23: Screenshot_from_2024-04-16_17-17-24.png
Screenshot_from_2024-04-16_17-17-24.png
Attachment 24: Screenshot_from_2024-04-16_17-17-11.png
Screenshot_from_2024-04-16_17-17-11.png
  574   Mon Apr 15 09:23:43 2024 CC, JB, HMA, TDNoise in AIDA when connecting BB7

9:40 started up AIDA. TEMP OK. Noise condition same as Saturday. Attachments 1-3.

9:56 BB7 premaps connected but not powered. Attahcment 4.

10:20 BB7 Preamps powered. Attachment 5.

Noise increased marginally, but still 10/16 <20kHz, max 130k.

 

 

15.15  per FEE64 Rate spectra - attachment 6

             ADC data item stats - attachment 7

           increased noise observed - 6/16 < 20k, max 300k 

 

17.10  per FEE64 1.8.W spectra - 20us FSR - attachments 8-9

            per FEE64 Rate spectra - attachment 10

             ADC data item stats - attachment 11

           increased noise observed - 7/16 < 20k, max 180k 

20.30  per FEE64 Rate spectra - attachment 12

             ADC data item stats - attachment 13

           status quo ante - 10/16 < 20k, max 130k 

 

          FEE64 power OFF

          DSSSD bias OFF

 

 

Attachment 1: Screenshot_from_2024-04-15_09-55-15.png
Screenshot_from_2024-04-15_09-55-15.png
Attachment 2: Screenshot_from_2024-04-15_09-54-58.png
Screenshot_from_2024-04-15_09-54-58.png
Attachment 3: Screenshot_from_2024-04-15_09-54-17.png
Screenshot_from_2024-04-15_09-54-17.png
Attachment 4: Screenshot_from_2024-04-15_10-13-20.png
Screenshot_from_2024-04-15_10-13-20.png
Attachment 5: Screenshot_from_2024-04-15_10-24-39.png
Screenshot_from_2024-04-15_10-24-39.png
Attachment 6: Screenshot_from_2024-04-15_15-24-34.png
Screenshot_from_2024-04-15_15-24-34.png
Attachment 7: Screenshot_from_2024-04-15_15-23-41.png
Screenshot_from_2024-04-15_15-23-41.png
Attachment 8: Screenshot_from_2024-04-15_17-16-19.png
Screenshot_from_2024-04-15_17-16-19.png
Attachment 9: Screenshot_from_2024-04-15_17-15-27.png
Screenshot_from_2024-04-15_17-15-27.png
Attachment 10: Screenshot_from_2024-04-15_17-14-32.png
Screenshot_from_2024-04-15_17-14-32.png
Attachment 11: Screenshot_from_2024-04-15_17-13-37.png
Screenshot_from_2024-04-15_17-13-37.png
Attachment 12: Screenshot_from_2024-04-15_20-29-18.png
Screenshot_from_2024-04-15_20-29-18.png
Attachment 13: Screenshot_from_2024-04-15_20-28-48.png
Screenshot_from_2024-04-15_20-28-48.png
  573   Sat Apr 13 14:04:44 2024 JB13 April Noise checks

15:04 bPlast was left powered over night. Powering up to check noise conditions - also to check shifting of Germanium baseline (no change observed).

          TEMP OK.

          Noise condition the same as yesterday when platform was moved in. Noise in aida01 and aida09 due to single channel failures (?). Attachments 1-3.

          9/16 <20kHz, max 176k

15:30 Changed drainwires ground from 4V (Ch3) -> 29.5V (Ch4) out on R&SMP4040 PSU. Attachments 4-5.

          No change in noise observed.

          9/16 <20kHz, max 187k

15:49 Connected PN 300 ground to R&SMP4040 4V (Ch3) output. Noise decreased in many channels aida09 does not have a noisy channel anymore (??). Attachments 6-7.

          10/16 <20kHz, max 158k.

 

Current bPlast grounding scheme in attachments 8-9.

 

Attachment 1: Screenshot_from_2024-04-13_15-21-34.png
Screenshot_from_2024-04-13_15-21-34.png
Attachment 2: Screenshot_from_2024-04-13_15-20-28.png
Screenshot_from_2024-04-13_15-20-28.png
Attachment 3: Screenshot_from_2024-04-13_15-15-14.png
Screenshot_from_2024-04-13_15-15-14.png
Attachment 4: Screenshot_from_2024-04-13_15-40-59.png
Screenshot_from_2024-04-13_15-40-59.png
Attachment 5: Screenshot_from_2024-04-13_15-40-56.png
Screenshot_from_2024-04-13_15-40-56.png
Attachment 6: Screenshot_from_2024-04-13_15-48-53.png
Screenshot_from_2024-04-13_15-48-53.png
Attachment 7: Screenshot_from_2024-04-13_15-48-42.png
Screenshot_from_2024-04-13_15-48-42.png
Attachment 8: 20240413_155823.jpg
20240413_155823.jpg
Attachment 9: 20240413_160214.jpg
20240413_160214.jpg
  572   Thu Apr 11 22:04:50 2024 JB12.04.2024 AIDA-bPlast noise optimisation

15:00 Platform in, biasing detector. Temp OK Attachment 1. test - Pulser OFF.

           Rates somewhat worse than last night - probable contact on grounding of snout. Attachment 3.

           Histograms okay, some FEE64s now have hot channels. Attachment 2.

           9/16 aidas < 20kHz - max rate 162k

 

18:06 - powering down detector for the weekend. Overall system is fine, some channels (hot channels) definitely picked up noise, but condition is overall stable over three hours. See atachments 4-5.

 

TO-DO (kicking the can down the road):

- Some work to do on noise, but we might have to accept the situation as it currently is.

- Implement bPlast trigger scheme. Set bPlast thresholds, get bPlast current draw undercontrol - observe AIDA noise.

- Try different grounding configuration:

    - Grounding drain wires to frame. Observe AIDA conditions.

    - Reconnect 4V out to R&SRMP4040 common ground with PN 300 PSU as well. Observe AIDA conditions.

 

Attachment 1: Screenshot_from_2024-04-12_15-11-18.png
Screenshot_from_2024-04-12_15-11-18.png
Attachment 2: Screenshot_from_2024-04-12_15-22-54.png
Screenshot_from_2024-04-12_15-22-54.png
Attachment 3: Screenshot_from_2024-04-12_15-22-26.png
Screenshot_from_2024-04-12_15-22-26.png
Attachment 4: Screenshot_from_2024-04-12_18-07-35.png
Screenshot_from_2024-04-12_18-07-35.png
Attachment 5: Screenshot_from_2024-04-12_18-07-27.png
Screenshot_from_2024-04-12_18-07-27.png
  571   Thu Apr 11 08:27:21 2024 JB, TD11 April noise tests
TO-DO for 11.04.2024

- Try bringing bPlast drain wire ground back to the PSU ground for PN 300 and R&SRMP4040.

- Recheck the downstream detector bias and ground scheme.

-

 

9:27 Restarted AIDA and hit go at 9:54. Situation the same as it was in the evening of 10.04.2024. 10 out of 16 FEE64s in good condition. Waveforms of aida16,

        TEMP OK - HV OK. See attachments 1-6.

 

10:20 We grounded the output of the R&SRMP4040 to to the drain wire ground of the bPlast ribbon cables and the output of the PN 300 PSU that is powering the booster boards. Results given by attachments 7 -11. We only saw a marginal improvement in the overall noise condition.

10:32 We connected the ground of the frame to the drain wire ground, that is also mutually connected to the ground of the R&SRMP4040 output ground and PN 300 output ground. Results given by attachments ???. We did not see any improvement in the overal noise condition.

 

11.00 DSSSD HV OFF

          FEE64 power OFF

          Check seating of all adaptor PCBs and drain/ground wires secured - generally OK

 

          Restart

          1.8.W spectra - 20us FSR - attachments 15-16

          per FEE64 Rate spectra - attachment 17

           10/16 < 20k, max 110k

          ADC data item stats - attachment 18

          Incremental improvement.

 

11.45 DSSSD HV OFF

          FEE64 power OFF

          test - daisy chain removed

 

          Restart

          1.8.W spectra - 20us FSR - attachments 20-21

          per FEE64 Rate spectra - attachment 19

           10/16 < 20k, max 210k

          ADC data item stats - attachment 22

          overall somewhat worse

 

12.20 DSSSD HV OFF

          FEE64 power OFF

          Re-install test - daisy chain, Tighten aida04 DSSSD ribbon cable drain wire.

 

          Restart

          1.8.W spectra - 20us FSR - attachments 23-24

          per FEE64 Rate spectra - attachment 25

          ADC data item stats - attachment 26

           10/16 < 20k, max 110k

          Status quo ante

 

         aida04 & aida08 1*W & 2*W spectra - 200us FSR - attachments 27-31

           large transients observed for aida04 1*W - cable or ASIC fault?

 

         aida16 **W spectra - 200us FSR - attachments 32-35

           all channels apopear to be working but mix of high/low noise channels for asics #3-4, asics #1-2 all appear high noise

 

       

 

 

        

14.00 DSSSD HV OFF

          FEE64 power OFF

          Disconnect ribbon cables from aida04 adaptor PCB

 

          Restart

          n+n FEE64 1.8.W spectra - 20us FSR - attachments 37

          per FEE64 Rate spectra - attachment 36

          ADC data item stats - attachment 38

          Implies origin of large transients observed in aida04 asic#1 is downstream of the FEE64 adaptor PCB, i.e. ribbon cable or DSSSD.

 

 

14.40 DSSSD HV OFF

          FEE64 power OFF

          re-connect ribbon cables from aida04 adaptor PCB

          1x pin J2 slightly bent - straightended with screwdriver - FFSD connector insertion OK

 

          Restart

          n+n FEE64 1.8.W spectra - 20us FSR - attachments 40-41

          per FEE64 Rate spectra - attachment 42-43

          ADC data item stats - attachment 39

            10x < 20k, max 120k

 

 

           aida11 asic#4 1.8.W spectra - 200us FSR - attachment

             no large transients observed

 

           DSSSD #1 & #2 bias from -120V to -100V

            ADC data item stats - attachment 40

            9x < 20k, max 220k (aida08)

            per FEE64 Rate spectra - attachment 41

 

17.15 bPlas ON -

        Current bPlast ground configuration:

         bPlas current ground configuration - drainwires of all ribbon cables excluding short side (cont. with snout) are grounded back to the bPlast R&SRMP4040 PSU, on the 29 V output. 4 V PSU to booster boards are floating, output of PN 300 is grounded to the PSU. The mesytec PSU, that also powers bPlast SiPMs at

         29V is not grounded to anything but the frame. Snout is currently light tight, I suspect internal radiation from FATIMA.

         It is noted that bPlast current draw fluctuated significantly (+/- 300 mV) as a result of thresholds set to the detector. I tried to set the thresholds HIGH to stabilise the bPlast detector as the power draw is fluctuating greatly.         

          AIDA noise very good

            ADC data item stats - attachment 42

            12x < 20k, max 83k (aida04)

            per FEE64 Rate spectra - attachment 43

           

 

 

To Do list

- separate 29V (low current) and 4V (high current) return paths/ground refs

- The mesytec PSU that powers the bPlast detector  is running to frame, it might be an idea to ground ribbon c

 

Attachment 1: Screenshot_from_2024-04-11_09-56-51.png
Screenshot_from_2024-04-11_09-56-51.png
Attachment 2: Screenshot_from_2024-04-11_09-55-50.png
Screenshot_from_2024-04-11_09-55-50.png
Attachment 3: Screenshot_from_2024-04-11_09-55-21.png
Screenshot_from_2024-04-11_09-55-21.png
Attachment 4: Screenshot_from_2024-04-11_09-55-10.png
Screenshot_from_2024-04-11_09-55-10.png
Attachment 5: Screenshot_from_2024-04-11_09-54-19.png
Screenshot_from_2024-04-11_09-54-19.png
Attachment 6: Screenshot_from_2024-04-11_09-53-48.png
Screenshot_from_2024-04-11_09-53-48.png
Attachment 7: Screenshot_from_2024-04-11_10-21-37.png
Screenshot_from_2024-04-11_10-21-37.png
Attachment 8: Screenshot_from_2024-04-11_10-21-08.png
Screenshot_from_2024-04-11_10-21-08.png
Attachment 9: Screenshot_from_2024-04-11_10-18-40.png
Screenshot_from_2024-04-11_10-18-40.png
Attachment 10: Screenshot_from_2024-04-11_10-18-38.png
Screenshot_from_2024-04-11_10-18-38.png
Attachment 11: Screenshot_from_2024-04-11_10-38-51.png
Screenshot_from_2024-04-11_10-38-51.png
Attachment 12: Screenshot_from_2024-04-11_10-37-16.png
Screenshot_from_2024-04-11_10-37-16.png
Attachment 13: Screenshot_from_2024-04-11_10-36-23.png
Screenshot_from_2024-04-11_10-36-23.png
Attachment 14: Screenshot_from_2024-04-11_10-36-13.png
Screenshot_from_2024-04-11_10-36-13.png
Attachment 15: Screenshot_from_2024-04-11_11-18-05.png
Screenshot_from_2024-04-11_11-18-05.png
Attachment 16: Screenshot_from_2024-04-11_11-17-16.png
Screenshot_from_2024-04-11_11-17-16.png
Attachment 17: Screenshot_from_2024-04-11_11-14-20.png
Screenshot_from_2024-04-11_11-14-20.png
Attachment 18: Screenshot_from_2024-04-11_11-14-08.png
Screenshot_from_2024-04-11_11-14-08.png
Attachment 19: Screenshot_from_2024-04-11_11-47-55.png
Screenshot_from_2024-04-11_11-47-55.png
Attachment 20: Screenshot_from_2024-04-11_11-46-52.png
Screenshot_from_2024-04-11_11-46-52.png
Attachment 21: Screenshot_from_2024-04-11_11-46-01.png
Screenshot_from_2024-04-11_11-46-01.png
Attachment 22: Screenshot_from_2024-04-11_11-45-32.png
Screenshot_from_2024-04-11_11-45-32.png
Attachment 23: Screenshot_from_2024-04-11_12-24-14.png
Screenshot_from_2024-04-11_12-24-14.png
Attachment 24: Screenshot_from_2024-04-11_12-23-39.png
Screenshot_from_2024-04-11_12-23-39.png
Attachment 25: Screenshot_from_2024-04-11_12-23-08.png
Screenshot_from_2024-04-11_12-23-08.png
Attachment 26: Screenshot_from_2024-04-11_12-22-27.png
Screenshot_from_2024-04-11_12-22-27.png
Attachment 27: Screenshot_from_2024-04-11_12-35-36.png
Screenshot_from_2024-04-11_12-35-36.png
Attachment 28: Screenshot_from_2024-04-11_12-35-07.png
Screenshot_from_2024-04-11_12-35-07.png
Attachment 29: Screenshot_from_2024-04-11_12-34-18.png
Screenshot_from_2024-04-11_12-34-18.png
Attachment 30: Screenshot_from_2024-04-11_12-33-13.png
Screenshot_from_2024-04-11_12-33-13.png
Attachment 31: Screenshot_from_2024-04-11_12-37-22.png
Screenshot_from_2024-04-11_12-37-22.png
Attachment 32: Screenshot_from_2024-04-11_12-44-59.png
Screenshot_from_2024-04-11_12-44-59.png
Attachment 33: Screenshot_from_2024-04-11_12-43-07.png
Screenshot_from_2024-04-11_12-43-07.png
Attachment 34: Screenshot_from_2024-04-11_12-42-19.png
Screenshot_from_2024-04-11_12-42-19.png
Attachment 35: Screenshot_from_2024-04-11_12-40-03.png
Screenshot_from_2024-04-11_12-40-03.png
Attachment 36: Screenshot_from_2024-04-11_14-04-59.png
Screenshot_from_2024-04-11_14-04-59.png
Attachment 37: Screenshot_from_2024-04-11_14-05-23.png
Screenshot_from_2024-04-11_14-05-23.png
Attachment 38: Screenshot_from_2024-04-11_14-19-10.png
Screenshot_from_2024-04-11_14-19-10.png
Attachment 39: Screenshot_from_2024-04-11_14-48-09.png
Screenshot_from_2024-04-11_14-48-09.png
Attachment 40: Screenshot_from_2024-04-11_14-48-29.png
Screenshot_from_2024-04-11_14-48-29.png
Attachment 41: Screenshot_from_2024-04-11_14-48-57.png
Screenshot_from_2024-04-11_14-48-57.png
Attachment 42: Screenshot_from_2024-04-11_14-49-37.png
Screenshot_from_2024-04-11_14-49-37.png
Attachment 43: Screenshot_from_2024-04-11_14-49-26.png
Screenshot_from_2024-04-11_14-49-26.png
Attachment 44: Screenshot_from_2024-04-11_15-11-42.png
Screenshot_from_2024-04-11_15-11-42.png
Attachment 45: Screenshot_from_2024-04-11_15-17-34.png
Screenshot_from_2024-04-11_15-17-34.png
Attachment 46: Screenshot_from_2024-04-11_15-17-10.png
Screenshot_from_2024-04-11_15-17-10.png
Attachment 47: Screenshot_from_2024-04-11_17-13-36.png
Screenshot_from_2024-04-11_17-13-36.png
Attachment 48: Screenshot_from_2024-04-11_17-13-40.png
Screenshot_from_2024-04-11_17-13-40.png
  570   Wed Apr 10 08:37:57 2024 JB, CC, TDWednesday 10 April
09.38 CC completed install of Bplast driver PCBs yesterday evening.
      All flat ribbon cables connected - all drain wires grounded
      PSU on but not enabled - return terminals grounded to PSU front panel ground
      SiPm bias off

      Water pressure and temperature OK
      FEE64 power ON

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temps OK - attachment 2
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* aida02 and aida03 WR decoder status - attachment 3

      WR timestamps OK - attachment 4

      ADC data item stats - attachments 5

      per FEE64 Rate spectra - attachments 6-7

      per 1.8.W spectra - 20us FSR - attachments 8-11

      ASIC settings 2024Mar27-11.25.32
       LEC slow comparator p+n FEE64s 0xa, n+n FEE64s 0xf

      BNC PB-5 pulser - attachment 12



10.45 CC returns
      bPlas ON

      ADC data item stats - attachments 13
       8x < 20k, max c. 310k

      per FEE64 Rate spectra - attachments 14

      per 1.8.W spectra - 20us FSR - attachments 15-16

      downstream DSSSD n+n and bottom left & right p+n FEE64s noisy


11.40 per p+n FEE64 1.8.L spectra - attachment 17
       aida09 pulser peak width 55 ch FWHM ~38keV FWHM - no change cf. before installation of bPas *except* aida16


12.00 Photos of snout, bPlas driver PCBs, cabling, grounding and PSUs courtesy JB - attachments 18-27

      N.B outputs of PN300 PSU at base of AIDA support stand are *not* ground ref'd - attachment 23


12.20 Slow comparator -> 0x64
      Pulser OFF
      All histograms zero'd

13.17 JB: returned from lunch. Current status of AIDA modules given by attachment 29.

15.55 While bPlast thresholds were being set the noise increased substantially.

16.51 Replaced mezzanine of aida14, reinstalled and biasing detector. Resulting for noise conditions in the detector given by attachments 30-33.

17.31 With a multimeter it was found that there is continuity between the snout and the frame.

18.50 We tried to disconnect the cables of the short side of the bPlast detector and all of the grounds. This seemed to show an open line OL on the multimeter and whence connecting the bPlast grounds back excluding the short side ribbon cable grounds, the multimeter still read OL. 
      We also tried to wedge paper between the short side ribbon cable of bPlast and the snout, but this did not work, the detector still reading continuity between the frame, booster board and snout. 
      The results after booting up the detector again are given by the attachments 34-36. 
      The noise condition is appreciably better than before with 12 out of the 16 FEE64 modules with sub 20 kHz rates.
      Disconnect the BB7 preamp. ground from the frame.

18.54 We powered up bPlast. The noise condition three FEEs got worse, aida01, aida11 and 06. The problem may be associated with downstream grounding. The results are given by the attachments 37-43. 10 out of the 16 FEE64 modules showed sub 20 kHz rates.

19.07 We turned off the power supply on the base of the snout support. PN300 Attachment 23. Rates did not change - attachment 44.

19.10 We turned off the mesytec PSU that is located in the bPlast NIM crate. No change was observed in the rates - attachment 45.

19.13 We turned off the R&SRMP4040 PSU that is located above the AIDA crate. And the noise situation did not change attachment 46.

19.22 Leaving the R&SRMP4040 PSU off we turned back on the mesytec PSU and PN300 PSU, attachment 47.

Summary:

It is clear that with no continuity on the short side we are able to reduce the noise back to the scenario where bPlast was not connected. However, powering bPlast introduced substantial noise in both DSSSDs that did not go away when turning all the PSUs off. There might be some hysteresis in the system (this is just speculation).

The situation is still fair considering that 10 out of the 16 FEE64 modules are in the sub 20 kHz rate level (good noise condition).

TO-DO for 11.04.2024

- Try bringing bPlast ground back to the PSU ground.

- Recheck the downstream detector bias and ground scheme.
       
Attachment 1: Screenshot_from_2024-04-10_09-43-13.png
Screenshot_from_2024-04-10_09-43-13.png
Attachment 2: Screenshot_from_2024-04-10_09-46-53.png
Screenshot_from_2024-04-10_09-46-53.png
Attachment 3: Screenshot_from_2024-04-10_09-49-03.png
Screenshot_from_2024-04-10_09-49-03.png
Attachment 4: Screenshot_from_2024-04-10_09-49-35.png
Screenshot_from_2024-04-10_09-49-35.png
Attachment 5: Screenshot_from_2024-04-10_09-50-23.png
Screenshot_from_2024-04-10_09-50-23.png
Attachment 6: Screenshot_from_2024-04-10_09-50-52.png
Screenshot_from_2024-04-10_09-50-52.png
Attachment 7: Screenshot_from_2024-04-10_09-50-42.png
Screenshot_from_2024-04-10_09-50-42.png
Attachment 8: Screenshot_from_2024-04-10_09-53-41.png
Screenshot_from_2024-04-10_09-53-41.png
Attachment 9: Screenshot_from_2024-04-10_09-53-17.png
Screenshot_from_2024-04-10_09-53-17.png
Attachment 10: Screenshot_from_2024-04-10_09-52-49.png
Screenshot_from_2024-04-10_09-52-49.png
Attachment 11: Screenshot_from_2024-04-10_09-52-22.png
Screenshot_from_2024-04-10_09-52-22.png
Attachment 12: Screenshot_from_2024-04-10_09-59-02.png
Screenshot_from_2024-04-10_09-59-02.png
Attachment 13: Screenshot_from_2024-04-10_10-51-20.png
Screenshot_from_2024-04-10_10-51-20.png
Attachment 14: Screenshot_from_2024-04-10_10-50-37.png
Screenshot_from_2024-04-10_10-50-37.png
Attachment 15: Screenshot_from_2024-04-10_10-52-40.png
Screenshot_from_2024-04-10_10-52-40.png
Attachment 16: Screenshot_from_2024-04-10_10-52-16.png
Screenshot_from_2024-04-10_10-52-16.png
Attachment 17: Screenshot_from_2024-04-10_11-41-42.png
Screenshot_from_2024-04-10_11-41-42.png
Attachment 18: IMG_0010.JPG
IMG_0010.JPG
Attachment 19: IMG_0007.JPG
IMG_0007.JPG
Attachment 20: IMG_0012.JPG
IMG_0012.JPG
Attachment 21: IMG_0005.JPG
IMG_0005.JPG
Attachment 22: IMG_0009.JPG
IMG_0009.JPG
Attachment 23: IMG_0015.JPG
IMG_0015.JPG
Attachment 24: IMG_0014.JPG
IMG_0014.JPG
Attachment 25: IMG_0017.JPG
IMG_0017.JPG
Attachment 26: IMG_0006.JPG
IMG_0006.JPG
Attachment 27: IMG_0013.JPG
IMG_0013.JPG
Attachment 28: Screenshot_from_2024-04-10_13-18-09.png
Screenshot_from_2024-04-10_13-18-09.png
Attachment 29: Screenshot_from_2024-04-10_15-55-15.png
Screenshot_from_2024-04-10_15-55-15.png
Attachment 30: Screenshot_from_2024-04-10_17-19-01.png
Screenshot_from_2024-04-10_17-19-01.png
Attachment 31: Screenshot_from_2024-04-10_17-13-25.png
Screenshot_from_2024-04-10_17-13-25.png
Attachment 32: Screenshot_from_2024-04-10_17-12-33.png
Screenshot_from_2024-04-10_17-12-33.png
Attachment 33: Screenshot_from_2024-04-10_17-04-11.png
Screenshot_from_2024-04-10_17-04-11.png
Attachment 34: Screenshot_from_2024-04-10_18-49-54.png
Screenshot_from_2024-04-10_18-49-54.png
Attachment 35: Screenshot_from_2024-04-10_18-49-50.png
Screenshot_from_2024-04-10_18-49-50.png
Attachment 36: Screenshot_from_2024-04-10_18-49-44.png
Screenshot_from_2024-04-10_18-49-44.png
Attachment 37: Screenshot_from_2024-04-10_19-00-27.png
Screenshot_from_2024-04-10_19-00-27.png
Attachment 38: Screenshot_from_2024-04-10_18-59-41.png
Screenshot_from_2024-04-10_18-59-41.png
Attachment 39: Screenshot_from_2024-04-10_18-59-26.png
Screenshot_from_2024-04-10_18-59-26.png
Attachment 40: Screenshot_from_2024-04-10_18-58-43.png
Screenshot_from_2024-04-10_18-58-43.png
Attachment 41: Screenshot_from_2024-04-10_18-58-21.png
Screenshot_from_2024-04-10_18-58-21.png
Attachment 42: Screenshot_from_2024-04-10_18-57-53.png
Screenshot_from_2024-04-10_18-57-53.png
Attachment 43: Screenshot_from_2024-04-10_18-57-46.png
Screenshot_from_2024-04-10_18-57-46.png
Attachment 44: Screenshot_from_2024-04-10_19-23-16.png
Screenshot_from_2024-04-10_19-23-16.png
Attachment 45: Screenshot_from_2024-04-10_19-20-55.png
Screenshot_from_2024-04-10_19-20-55.png
Attachment 46: Screenshot_from_2024-04-10_19-12-22.png
Screenshot_from_2024-04-10_19-12-22.png
Attachment 47: Screenshot_from_2024-04-10_19-09-07.png
Screenshot_from_2024-04-10_19-09-07.png
  569   Tue Apr 9 13:22:41 2024 TD, JB, CCContinued of 9th of April

Disconnected test - pulser from aida08. Noise conditions improved slightly, probably less pulser events. (Attachments 1 & 2).

We recabled the dew point sensor and flow sensor for the water supply interlock to the FEE64s. When we tried to power up the interlock box, the PSU (charging block) LED was blinking indicating an internal power instability. We then plugged each of the sensors out and into the interlock box and found that the flow sensor was the source of the power supply issue.

The HDMI cable of aida04 appears to be broken or wobbly, but the signals still look the same after powering up again. The adaptor board was reseated.

Pulser peak of test - remeasured the peak in aida02 1.8.L and found the same result as before with 118 channels FWHM c. 95-100 keV FWHM energy resolution. (Attachment 3)

bPlast booster boards connected caused dramatic increase in the noise observed in AIDA. Grounding still needs to take place.

TO-DO for 10.04 - 11.04:

- aida04 asic #1 investigate noise
- aida14 asic #1 & asic #2 u/s? replace ASIC mezzanine?

- noise optimisation of aida-bplast -- (friday if BB7 also powered).

 

Attachment 1: Screenshot_from_2024-04-09_14-22-20.png
Screenshot_from_2024-04-09_14-22-20.png
Attachment 2: Screenshot_from_2024-04-09_14-22-16.png
Screenshot_from_2024-04-09_14-22-16.png
Attachment 3: Screenshot_from_2024-04-09_17-18-45.png
Screenshot_from_2024-04-09_17-18-45.png
  568   Tue Apr 9 09:04:07 2024 TD[How To] Remote control of BNC PB-5
BNC PB-5 set to remote control

Login to RPi nnrpi2

ssh -X nnrpi2 -l pi

Start PB-5 app - attachment 1

./BNC-PB-5/pb5.py
Attachment 1: Screenshot_from_2024-04-09_10-03-42.png
Screenshot_from_2024-04-09_10-03-42.png
  567   Tue Apr 9 08:37:47 2024 TDTuesday 9 April
09.36 Cooling water temperature and flow OK

      FEE64 power ON

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temps OK - attachment 2
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* aida02 and aida03 WR decoder status - attachment 3

      WR timestamps OK - attachment 4

      info code 4, correlation scaler, PAUSE, RESUME, DISC, ADC data item stats - attachments 5-10

      per FEE64 Rate spectra - attachments 11

      per 1.8.W spectra - 20us FSR - attachments 12-13

12.25 DSSSD bias & leakage current OK - attachment 16

      FEE64 temps OK - attachment 15
      *except* aida02 ASIC temp which is known to be u/s

      ADC data item stats - attachments 14

      system stable
Attachment 1: Screenshot_from_2024-04-09_09-50-58.png
Screenshot_from_2024-04-09_09-50-58.png
Attachment 2: Screenshot_from_2024-04-09_09-51-07.png
Screenshot_from_2024-04-09_09-51-07.png
Attachment 3: Screenshot_from_2024-04-09_09-51-26.png
Screenshot_from_2024-04-09_09-51-26.png
Attachment 4: Screenshot_from_2024-04-09_09-51-56.png
Screenshot_from_2024-04-09_09-51-56.png
Attachment 5: Screenshot_from_2024-04-09_09-53-31.png
Screenshot_from_2024-04-09_09-53-31.png
Attachment 6: Screenshot_from_2024-04-09_09-53-19.png
Screenshot_from_2024-04-09_09-53-19.png
Attachment 7: Screenshot_from_2024-04-09_09-52-58.png
Screenshot_from_2024-04-09_09-52-58.png
Attachment 8: Screenshot_from_2024-04-09_09-52-48.png
Screenshot_from_2024-04-09_09-52-48.png
Attachment 9: Screenshot_from_2024-04-09_09-52-38.png
Screenshot_from_2024-04-09_09-52-38.png
Attachment 10: Screenshot_from_2024-04-09_09-52-25.png
Screenshot_from_2024-04-09_09-52-25.png
Attachment 11: Screenshot_from_2024-04-09_09-55-57.png
Screenshot_from_2024-04-09_09-55-57.png
Attachment 12: Screenshot_from_2024-04-09_09-54-17.png
Screenshot_from_2024-04-09_09-54-17.png
Attachment 13: Screenshot_from_2024-04-09_09-53-45.png
Screenshot_from_2024-04-09_09-53-45.png
Attachment 14: Screenshot_from_2024-04-09_12-24-55.png
Screenshot_from_2024-04-09_12-24-55.png
Attachment 15: Screenshot_from_2024-04-09_12-24-43.png
Screenshot_from_2024-04-09_12-24-43.png
Attachment 16: Screenshot_from_2024-04-09_12-24-38.png
Screenshot_from_2024-04-09_12-24-38.png
  566   Mon Apr 8 16:39:00 2024 JB, CC, TDMonday 8 April
17.32 Power and detector bias cycle

      DSSSD bias & leakage current OK - attachment 1

      FEE64 temperatures OK - attachment 2
       *except* aida02 ASIC temp u/s

      ASIC settings 2024Mar27-11.25.32
       slow comparator p+n FEE64s 0xa (100keV), n+n FEE64s 0xf (150keV)

      BNC PB-5 pulser
      amplitude 10.0V
      attenuation x10
      frequency 25Hz
      polarity -
      tau_d 1ms
      tail pulse

      test - distributed by daisy chain to n+n FEE64s - chain terminated by 50 Ohm - currently connected to pulser

      test + distributed by daisy chain to p+n FEE64s - chain terminated by 50 Ohm - currently disconnected from pulser

      All system wide checks OK *except* aida02 & aida03 WR decoder status errors - attachment 10

      WR timestamps OK - attachment 11

      ADC data item stats - attachment 3
       12 of 16 < 20k, all < 100k

      per FEE64 Rate spectra - attachment 4

      per n+n FEE64 1.8.L spectra - attachment 5

      1.8.W spectra - 20us FSR - attachments 6-9
       preamplifier output noise generally very good

*Current ground configuration*

CAEN N1419ET LK fitted (non floating outputs)

ground cable from aida04-aida12-aida02-aida09-aida01-aida05
HV#0 aida12-aida03-aida15

ground cable from aida08-aida16-aida06-aida10-aida14-aida13
HV#1 aida16-aida07-aida11

LK3 fitted aida03, aida07

LK1 fitted aida02, aida04, aid06, aida08

test - distributed by daisy chain to n+n FEE64s - chain terminated by 50 Ohm - currently connected to pulser

test + distributed by daisy chain to p+n FEE64s - chain terminated by 50 Ohm - currently disconnected from pulser

all DSSSD ribbon cable drain wires grounded to their resepctive AIDA adaptor PCBs

JB observed open circuit between AIDA Al snout and AIDA support frame ( as expected )

AIDA PSU cabling as reported https://elog.ph.ed.ac.uk/DESPEC/560

Snout configuration:

- all bPlast cables are disconnected and floating. 
- CC taped up all the cables and exit points in snout.
- CC, JB covered the exit of the snout with two additional layers of aluminium foil which were also taped shut.


To Do:

- repower detector, see if similar conditions persist.
- disconnect test - pulser, again see if conditions persist.

- aida04 asic#1 investigate noise
- aida14 asic #1 & asic #2 u/s? replace ASIC mezzanine?




       
Attachment 1: Screenshot_from_2024-04-08_17-32-49.png
Screenshot_from_2024-04-08_17-32-49.png
Attachment 2: Screenshot_from_2024-04-08_17-33-10.png
Screenshot_from_2024-04-08_17-33-10.png
Attachment 3: Screenshot_from_2024-04-08_17-33-14.png
Screenshot_from_2024-04-08_17-33-14.png
Attachment 4: Screenshot_from_2024-04-08_17-33-33.png
Screenshot_from_2024-04-08_17-33-33.png
Attachment 5: Screenshot_from_2024-04-08_17-34-40.png
Screenshot_from_2024-04-08_17-34-40.png
Attachment 6: Screenshot_from_2024-04-08_17-35-00.png
Screenshot_from_2024-04-08_17-35-00.png
Attachment 7: Screenshot_from_2024-04-08_17-35-19.png
Screenshot_from_2024-04-08_17-35-19.png
Attachment 8: Screenshot_from_2024-04-08_17-37-28.png
Screenshot_from_2024-04-08_17-37-28.png
Attachment 9: Screenshot_from_2024-04-08_17-37-56.png
Screenshot_from_2024-04-08_17-37-56.png
Attachment 10: Screenshot_from_2024-04-08_17-48-23.png
Screenshot_from_2024-04-08_17-48-23.png
Attachment 11: Screenshot_from_2024-04-08_17-48-49.png
Screenshot_from_2024-04-08_17-48-49.png
  565   Mon Apr 8 11:18:19 2024 TDS505 offline analysis data file R3_150
DRG quotes ( from Elog ) S505 FEE64 configuration as

"Configuration: Single/Narrow - 8 FEEs, 2 DSSDs

DSSD 1:
 X 0-63    =  3 (HV -ve)
 X 64-127  =  1
 Y 0-63    =  4 (HV 0v) 
 Y 64-127  =  2 
DSSD 2:
 X 0-63    =  7 (HV -ve)
 X 64-127  =  5
 Y 0-63    =  8 (HV 0v)
 Y 64-127  =  6 


Scalers:
1 - Pulser
2 - n/c
3 - Time Machine Original
4 - Time Machine Delayed
5 - n/c
6 - n/c
7 - SC41 L
8 - SC41 R"

LEC (20MeV FSR) spectra

attachment 1 m_p versus m_n DSSSD#1 & DSSSD#2
attachment 2 E_p versus E_n DSSSD#1 & DSSSD#2

HEC (20GeV FSR) spectra

attachment 3 m_p versus m_n DSSSD#1 & DSSSD#2
attachment 4 E_p versus E_n DSSSD#1 & DSSSD#2

attachment variables.dat ( ADC offsets, e_diff windows & FEE64 onfiguration ) NAMELIST I/O format
Attachment 1: Screenshot_from_2024-03-29_20-21-07.png
Screenshot_from_2024-03-29_20-21-07.png
Attachment 2: Screenshot_from_2024-03-29_20-21-45.png
Screenshot_from_2024-03-29_20-21-45.png
Attachment 3: Screenshot_from_2024-03-29_20-22-29.png
Screenshot_from_2024-03-29_20-22-29.png
Attachment 4: Screenshot_from_2024-03-29_20-23-06.png
Screenshot_from_2024-03-29_20-23-06.png
Attachment 5: variables.dat
  $variables
  offset(   0) =    28.32
  offset(   1) =    17.71
  offset(   2) =    -9.75
  offset(   3) =    -5.24
  offset(   4) =   -10.84
  offset(   5) =     0.13
  offset(   6) =    28.59
  offset(   7) =    28.54
  offset(   8) =     0.83
  offset(   9) =   -12.15
  offset(  10) =    29.36
  offset(  11) =   -50.47
  offset(  12) =    10.03
  offset(  13) =   -26.90
  offset(  14) =    12.49
  offset(  15) =    10.57
  offset(  16) =     9.29
  offset(  17) =     1.09
  offset(  18) =    21.86
  offset(  19) =    -0.32
  offset(  20) =    22.59
  offset(  21) = -9999.99
  offset(  22) =   -20.22
  offset(  23) =     6.61
  offset(  24) =    -8.80
  offset(  25) =    -1.15
  offset(  26) =    13.08
  offset(  27) =    -9.03
  offset(  28) =    -2.67
  offset(  29) =    -9.42
  offset(  30) =     8.07
  offset(  31) =    19.01
  offset(  32) =     6.86
  offset(  33) =    15.16
  offset(  34) =    -3.89
  offset(  35) =   -18.80
  offset(  36) =    17.79
  offset(  37) =    16.45
  offset(  38) =    -0.74
  offset(  39) =     0.69
  offset(  40) =   -14.59
  offset(  41) =   -10.02
  offset(  42) =    11.38
  offset(  43) =    -2.57
  offset(  44) =    15.48
  offset(  45) =    14.57
  offset(  46) =     2.95
  offset(  47) =    30.70
  offset(  48) =   -12.55
  offset(  49) =   -15.61
  offset(  50) =    -6.61
  offset(  51) =    -7.10
  offset(  52) =   -33.20
  offset(  53) =    21.42
  offset(  54) =    -4.07
  offset(  55) =   -18.23
  offset(  56) =     8.83
  offset(  57) =   -22.74
  offset(  58) =    11.47
  offset(  59) =    10.67
  offset(  60) =   -28.65
  offset(  61) =    15.29
  offset(  62) =     5.76
  offset(  63) =   -11.57
  offset(  64) =     1.95
  offset(  65) = -9999.99
  offset(  66) =    22.52
  offset(  67) =    42.10
  offset(  68) =    -0.20
  offset(  69) =     9.78
  offset(  70) =   -11.48
  offset(  71) =    -0.03
  offset(  72) =   -12.50
  offset(  73) =    25.08
  offset(  74) =    12.77
  offset(  75) =    -2.76
  offset(  76) =     7.90
  offset(  77) =     9.85
  offset(  78) =     5.20
  offset(  79) =   -13.91
  offset(  80) =    18.82
  offset(  81) =    -8.25
  offset(  82) =    -8.40
  offset(  83) =    13.67
  offset(  84) =   -10.37
  offset(  85) =    28.32
  offset(  86) =    11.42
  offset(  87) =     2.74
  offset(  88) =   -24.88
  offset(  89) =    11.28
  offset(  90) =   -26.69
  offset(  91) =     0.91
  offset(  92) =     8.04
  offset(  93) =     7.64
  offset(  94) =    10.10
  offset(  95) =   -20.71
  offset(  96) =     6.72
  offset(  97) =   -12.63
  offset(  98) =    10.27
  offset(  99) =    16.05
  offset( 100) =     8.96
  offset( 101) =    33.57
  offset( 102) =    28.81
  offset( 103) =    23.49
  offset( 104) =     7.96
  offset( 105) =     6.07
  offset( 106) =    21.57
  offset( 107) =    13.06
  offset( 108) =     0.82
  offset( 109) =    -3.55
  offset( 110) =    -5.21
  offset( 111) =    11.48
  offset( 112) =    16.21
  offset( 113) =    -0.10
  offset( 114) =     3.87
  offset( 115) =    19.76
  offset( 116) =    -9.11
  offset( 117) =    24.64
  offset( 118) =     1.82
  offset( 119) = -9999.99
  offset( 120) =    22.80
  offset( 121) =    -7.37
  offset( 122) =   -18.32
  offset( 123) =   -24.74
  offset( 124) =    -4.71
  offset( 125) =    19.64
  offset( 126) =    53.61
  offset( 127) =     6.57
  offset( 128) =     3.21
  offset( 129) =     7.20
  offset( 130) =     5.55
  offset( 131) =    -3.40
  offset( 132) =   -16.40
  offset( 133) =    -1.71
  offset( 134) =   -14.85
  offset( 135) =   -29.53
  offset( 136) =     0.68
  offset( 137) =    -0.41
  offset( 138) =    17.59
  offset( 139) =   -28.92
  offset( 140) =    -8.79
  offset( 141) =    11.69
  offset( 142) =    -5.80
  offset( 143) =    -8.21
  offset( 144) =    13.90
  offset( 145) =    11.27
  offset( 146) =   -17.02
  offset( 147) =    -8.19
  offset( 148) =     6.14
  offset( 149) =   -13.13
  offset( 150) =    15.41
  offset( 151) =     0.12
  offset( 152) =    -5.02
  offset( 153) =     4.25
  offset( 154) =     3.84
  offset( 155) =    13.34
  offset( 156) =    16.79
  offset( 157) =   -36.21
  offset( 158) =    -2.18
  offset( 159) =    12.94
  offset( 160) =     2.05
  offset( 161) =   -17.31
  offset( 162) =    18.38
  offset( 163) =    -7.17
  offset( 164) =     6.59
  offset( 165) =   -13.36
  offset( 166) =   -12.11
  offset( 167) =    -3.91
  offset( 168) =    10.97
  offset( 169) =   -25.72
  offset( 170) =   -11.53
  offset( 171) =     1.94
  offset( 172) =   -12.76
  offset( 173) =    18.55
  offset( 174) =    13.49
  offset( 175) =    -9.45
  offset( 176) =   -10.19
  offset( 177) =    18.69
  offset( 178) =    24.49
  offset( 179) =    30.44
  offset( 180) =    41.95
  offset( 181) =     1.55
  offset( 182) =    20.88
  offset( 183) =     4.76
  offset( 184) =    15.80
  offset( 185) =    12.37
  offset( 186) =   -13.03
  offset( 187) =    15.64
  offset( 188) =    -8.44
  offset( 189) =    -3.02
  offset( 190) =    10.57
  offset( 191) =     6.88
  offset( 192) =   -17.81
  offset( 193) =    -5.77
  offset( 194) =   -18.29
  offset( 195) =    17.62
  offset( 196) =   -30.66
  offset( 197) =    -7.37
  offset( 198) =    36.32
  offset( 199) =     3.42
  offset( 200) =   -21.92
  offset( 201) =    15.88
  offset( 202) =   -17.33
  offset( 203) =    -2.71
  offset( 204) =   -21.06
  offset( 205) =    -4.53
  offset( 206) =    -1.59
  offset( 207) =    -9.54
  offset( 208) =    -0.04
  offset( 209) =    23.57
  offset( 210) =    13.83
  offset( 211) =    -9.28
  offset( 212) =    12.42
  offset( 213) =    23.85
  offset( 214) =     7.90
  offset( 215) =    -7.29
  offset( 216) =    26.18
  offset( 217) =    33.05
  offset( 218) =    32.10
  offset( 219) =    -8.10
  offset( 220) =    16.16
  offset( 221) =     3.94
  offset( 222) =   -18.22
  offset( 223) =   -16.84
  offset( 224) =     5.79
  offset( 225) =    21.07
  offset( 226) =    45.18
  offset( 227) =   -13.85
  offset( 228) =     6.76
  offset( 229) =    13.59
  offset( 230) =     8.28
  offset( 231) =    -9.32
  offset( 232) =    21.30
  offset( 233) =     7.02
  offset( 234) =    24.11
  offset( 235) =    16.26
  offset( 236) =    11.46
  offset( 237) =     2.16
  offset( 238) =     7.19
  offset( 239) =    18.45
  offset( 240) =     6.65
  offset( 241) =     6.56
  offset( 242) =   -33.59
  offset( 243) =    14.71
  offset( 244) =    21.28
  offset( 245) =    -0.73
  offset( 246) =   -10.29
  offset( 247) =    28.50
  offset( 248) =   -11.37
  offset( 249) =   -18.92
  offset( 250) =    23.12
  offset( 251) =     8.87
  offset( 252) =     9.64
  offset( 253) =    16.43
  offset( 254) =    -2.70
  offset( 255) =    26.14
  offset( 256) =    -4.99
  offset( 257) =   -10.88
  offset( 258) =    27.41
  offset( 259) =    -3.48
  offset( 260) =   -11.18
  offset( 261) =    12.60
  offset( 262) =    22.19
  offset( 263) =   -20.16
  offset( 264) =    20.32
  offset( 265) =     9.66
  offset( 266) =    13.30
  offset( 267) =   -12.64
  offset( 268) =    53.69
  offset( 269) =    23.15
  offset( 270) =    43.60
  offset( 271) =    48.58
  offset( 272) =   -13.12
  offset( 273) =   -12.57
  offset( 274) =    35.19
  offset( 275) =    14.84
  offset( 276) =    33.05
  offset( 277) =    13.73
  offset( 278) =    45.45
  offset( 279) =    55.49
  offset( 280) =    48.13
  offset( 281) =     3.86
  offset( 282) =    28.44
  offset( 283) =    23.73
  offset( 284) =    11.53
  offset( 285) =   -19.46
  offset( 286) =    10.81
  offset( 287) =    17.23
  offset( 288) =   -13.85
  offset( 289) =    30.17
  offset( 290) =    10.70
  offset( 291) =    28.06
  offset( 292) =   -14.35
  offset( 293) =    23.46
  offset( 294) =    15.16
  offset( 295) =   -25.15
  offset( 296) =   -15.03
  offset( 297) =   -24.74
  offset( 298) =    -5.94
... 228 more lines ...
  564   Mon Apr 8 10:23:16 2024 TD, JBAIDA Noise optimisation

In the morning we reinstalled FEE64s aida06, aida13, aida07. The rails of the FEE64 boards were found to be incorrect (that of the rails that were used in CARME).

After replacing the rails on the abovementioned FEE64s we powercycled AIDA and found the following results in the histograms and statistics.

As can be seen in attachement 4, aida16 has a noisy waveform and we are going to investigate this further.

When setting the threshold to 0x64 (1 MeV) on the slow comparator threshold we saw that the low energy branch of aida04 was still noisy. (Attachment 6)

- Setting the slow comparator threshold to 0x32 (0.5 MeV) introduced noise into aida08, aida16 and substantially more noise in aida04. (Attachment 7)

- Setting the slow comparator threshold to 0x14 (0.2 MeV) introduced noise into aida11 and substantially more noise in aida04, aida08, aida16 and aida06. (Attachment 8)

- Setting the slow comparator threshold to 0xf (0.15 MeV) introduced more noise into the same aforementioned channels aida04, aida06, aida08, aida11, aida16 (additionally aida02), barring hot strips. (Attachment 9)

 

We covered the end of the snout with a black cloth and this reduced the noise in some of the channels (Attachment 10 and 11).

- We covered the end of the snout with two layers of aluminium foil - this produced the same result as covering the snout with the black cloth (Attachment 12, 13, 14 & 15).

We resumed after lunch.

- Replaced the aida16 adaptor PCB with a spare, and this produced a much more sensible rate and hitpattern in aida16, which could lead us to believe that the aida adapter card was faulty or misaligned. (Attachment 16, 17, 18 & 19).

- Placed two LK1 back on the n+n side of both DSSSDs on aida06 and aida02. The noise and rate did not change at all. (Attachment 20 & 21)

Pulser tests:

- The test + pulser was connected to the p+n sides (top and bottom) at 25 Hz.

- After checking the ASIC control 2 times over, the pulser seems to be ok for all p+n channels excluding aida01, aida13 and aida14. (Attachment 23)

- The pulser peak width of aida09 1.8.L was found to be c. 55 channels FWHM on uncalibrated scale which corresponds to 35 - 40 keV FWHM in energy resolution. (Attachment 24)

- After reseating the adaptor board PCBs we found the same result as before reseating the adaptor boards (Attachment 25).

- Connecting the test - pulser to the n+n  side we found that most of the ASICs behaved normally which ASIC 1 in aida04 was showing abnormal behaviour. (Attachment 26, 28). The test + pulser loop is still in place.

-  The pulser peak width of aida02 1.8.L was found to be c. 118 channels FWHM on uncalibrated scale which corresponds to 95 - 100 keV FWHM in energy resolution. (Attachment 27)

- We set the thresholds on the n+n side to 0xf and the p+n side to 0xa. Subsequently, we observed improved noise conditions in many of the channels. The still noisy channels are aida01, aida04, aida08. (Attachment 29, 30). This might be because we have introduced an addtional ground loop with the pusler tests, but could also mean that the ground loop that is currently being used is not sufficient or poorly implemented.

 

Summary:

- From the pulser test it is clear that aida14 ASICs 1&2 are not in good shape and appear to be faulty. 

- We managed to improve from 1 aida module in the sub 20 kHz rate range to 6 aida modules in the sub 20 kHz rate range by the end of the tests.

- We set the slow comparator thresholds on the n+n side to 0xf and the p+n side to 0xa. Subsequently, we observed improved noise conditions in many of the channels. The still noisy channels are aida01, aida04, aida08. (Attachment 29, 30). This might be because we have introduced an additional ground loop with the pusler tests, but could also mean that the ground loop that is currently being used is not sufficient or poorly implemented.

 

Attachment 1: Screenshot_from_2024-04-08_11-28-11.png
Screenshot_from_2024-04-08_11-28-11.png
Attachment 2: Screenshot_from_2024-04-08_11-27-25.png
Screenshot_from_2024-04-08_11-27-25.png
Attachment 3: Screenshot_from_2024-04-08_11-26-38.png
Screenshot_from_2024-04-08_11-26-38.png
Attachment 4: Screenshot_from_2024-04-08_11-26-31.png
Screenshot_from_2024-04-08_11-26-31.png
Attachment 5: Screenshot_from_2024-04-08_11-25-57.png
Screenshot_from_2024-04-08_11-25-57.png
Attachment 6: Screenshot_from_2024-04-08_11-31-34.png
Screenshot_from_2024-04-08_11-31-34.png
Attachment 7: Screenshot_from_2024-04-08_11-34-40.png
Screenshot_from_2024-04-08_11-34-40.png
Attachment 8: Screenshot_from_2024-04-08_11-36-50.png
Screenshot_from_2024-04-08_11-36-50.png
Attachment 9: Screenshot_from_2024-04-08_11-40-33.png
Screenshot_from_2024-04-08_11-40-33.png
Attachment 10: Screenshot_from_2024-04-08_11-51-46.png
Screenshot_from_2024-04-08_11-51-46.png
Attachment 11: Screenshot_from_2024-04-08_11-51-50.png
Screenshot_from_2024-04-08_11-51-50.png
Attachment 12: Screenshot_from_2024-04-08_12-09-33.png
Screenshot_from_2024-04-08_12-09-33.png
Attachment 13: Screenshot_from_2024-04-08_12-09-37.png
Screenshot_from_2024-04-08_12-09-37.png
Attachment 14: Screenshot_from_2024-04-08_12-10-20.png
Screenshot_from_2024-04-08_12-10-20.png
Attachment 15: Screenshot_from_2024-04-08_12-10-57.png
Screenshot_from_2024-04-08_12-10-57.png
Attachment 16: Screenshot_from_2024-04-08_15-09-34.png
Screenshot_from_2024-04-08_15-09-34.png
Attachment 17: Screenshot_from_2024-04-08_15-09-08.png
Screenshot_from_2024-04-08_15-09-08.png
Attachment 18: Screenshot_from_2024-04-08_14-45-49.png
Screenshot_from_2024-04-08_14-45-49.png
Attachment 19: Screenshot_from_2024-04-08_14-45-05.png
Screenshot_from_2024-04-08_14-45-05.png
Attachment 20: Screenshot_from_2024-04-08_14-44-19.png
Screenshot_from_2024-04-08_14-44-19.png
Attachment 21: Screenshot_from_2024-04-08_14-44-14.png
Screenshot_from_2024-04-08_14-44-14.png
Attachment 22: Screenshot_from_2024-04-08_16-03-19.png
Screenshot_from_2024-04-08_16-03-19.png
Attachment 23: Screenshot_from_2024-04-08_16-07-48.png
Screenshot_from_2024-04-08_16-07-48.png
Attachment 24: Screenshot_from_2024-04-08_16-13-53.png
Screenshot_from_2024-04-08_16-13-53.png
Attachment 25: Screenshot_from_2024-04-08_16-38-15.png
Screenshot_from_2024-04-08_16-38-15.png
Attachment 26: Screenshot_from_2024-04-08_16-48-25.png
Screenshot_from_2024-04-08_16-48-25.png
Attachment 27: Screenshot_from_2024-04-08_16-52-17.png
Screenshot_from_2024-04-08_16-52-17.png
Attachment 28: Screenshot_from_2024-04-08_16-50-12.png
Screenshot_from_2024-04-08_16-50-12.png
Attachment 29: Screenshot_from_2024-04-08_17-00-38.png
Screenshot_from_2024-04-08_17-00-38.png
Attachment 30: Screenshot_from_2024-04-08_16-58-25.png
Screenshot_from_2024-04-08_16-58-25.png
  563   Sun Apr 7 18:13:56 2024 TDSunday 7 April contd.
19.10 CAEN N1419ET LK fitted
      power cycle

attachments 1-6
Attachment 1: Screenshot_from_2024-04-07_19-13-19.png
Screenshot_from_2024-04-07_19-13-19.png
Attachment 2: Screenshot_from_2024-04-07_19-12-40.png
Screenshot_from_2024-04-07_19-12-40.png
Attachment 3: Screenshot_from_2024-04-07_19-11-24.png
Screenshot_from_2024-04-07_19-11-24.png
Attachment 4: Screenshot_from_2024-04-07_19-11-09.png
Screenshot_from_2024-04-07_19-11-09.png
Attachment 5: Screenshot_from_2024-04-07_19-10-45.png
Screenshot_from_2024-04-07_19-10-45.png
Attachment 6: Screenshot_from_2024-04-07_19-10-30.png
Screenshot_from_2024-04-07_19-10-30.png
  562   Sun Apr 7 13:08:52 2024 TDFEE64 configuration
FEE64 configuration per https://elog.gsi.de/despec/S100/6

Configuration: Wide - 16 FEEs, 2 DSSD

DSSD 1:
 X 0-63    =  15 
 X 63-127  =  9
 X 128-191 =  3 
 X 192-255 =  1
 X 256-319 =  12 
 X 320-385 =  5
 Y 0-63    =  4 
 Y 63-127  =  2 


DSSD 2:
 X 0-63    =  11 
 X 63-127  =  10
 X 128-191 =  7
 X 192-255 =  14
 X 256-319 =  16 
 X 320-385 =  13
 Y 0-63    =  8
 Y 63-127  =  6 

Scalers:
1 - Pulser
2 - FRS Accepted
3 - Time Machine Undelayed
4 - Time Machine Delayed
5 - SC41 L
6 - SC41 R


Thresholds (Slow / Fast / Implant)
DSSD 1 p+n : 0xA (100 keV) / 0xFF (disabled) / 0x02 (200 MeV)
DSSD 1 n+n : 0xF (150 keV) / 0xFF (disabled) / 0x02 (200 MeV)
Attachment 1: AIDA_S100_WiringPlan.drawio.png
AIDA_S100_WiringPlan.drawio.png
  561   Sun Apr 7 12:16:27 2024 TDaida06 system console log
ISOL Version 1.00 Date 9th January 2017
Flash base address=FC000000
Set Flash to ASync Mode
XST_SUCCESS:07:47|
Finished copying zImage to RAM 
07:04:24/13:07:48|
Found 0 errors checking kernel image
07:04:24/13:07:49|VHDL version number 0X03350706 
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
Starting LMK 3200 setup 
07:04:24/13:07:49|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
.... SPI Base Address=0x81400000
clk_control_reg=0x4
07:04:24/13:07:49|Next step is SPIconfig
Control 32(0x81400000)=0x180
SlaveSel(0x81400000)=0x3
Ctrl(0x81400000)=0xE6
Ctrl(0x81400000)=0x86
07:04:24/13:07:49|SPIconfig done now to set up the LMK3200 registers
07:04:24/13:07:49|LMK #0 : regInit[0]=0x80000000
07:04:24/13:07:49|LMK #0 : regInit[1]=0x10070600
07:04:24/13:07:49|LMK #0 : regInit[2]=0x60601
07:04:24/13:07:50|LMK #0 : regInit[3]=0x60602
07:04:24/13:07:50|LMK #0 : regInit[4]=0x60603
07:04:24/13:07:50|LMK #0 : regInit[5]=0x70624
07:04:24/13:07:50|LMK #0 : regInit[6]=0x70605
07:04:24/13:07:50|LMK #0 : regInit[7]=0x70606
07:04:24/13:07:50|LMK #0 : regInit[8]=0x70627
07:04:24/13:07:50|LMK #0 : regInit[9]=0x10000908
07:04:24/13:07:50|LMK #0 : regInit[10]=0xA0022A09
07:04:24/13:07:50|LMK #0 : regInit[11]=0x82800B
07:04:24/13:07:50|LMK #0 : regInit[12]=0x28C800D
07:04:24/13:07:50|LMK #0 : regInit[13]=0x830020E
07:04:24/13:07:50|LMK #0 : regInit[14]=0xC800180F
Calibrate completed at 943 counts
Setting Clock Control =0x0000000B, to set GOE and sync bit
Ctrl @ SPIstop (0x81400000)=0x186
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
07:04:24/13:07:50|
Finished Clock setup LMK03200
completed LMK 3200 setup 
Loaded all four ASICs with default settings 
Setting the ADCs into calibration mode 
07:04:24/13:07:50|
Control 32(0x81400400)=0x180
SlaveSel(0x81400400)=0xFF
Ctrl(0x81400400)=0xE6
Ctrl(0x81400400)=0x86
Init : Config of AD9252 SPI ok
07:04:24/13:07:50|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
Cal not completed 
ADC calibrate failed 
Jumping to kernel simpleboot...
07:04:24/13:07:51|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
Allocating 0x3b78cc bytes for kernel ...
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
07:04:24/13:07:54|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
Finalizing device tree... flat tree at 0xbd1300
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xee 0x71 
07:04:24/13:08:00|Using Xilinx Virtex440 machine description
07:04:24/13:08:01|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
07:04:24/13:08:01|Zone PFN ranges:
07:04:24/13:08:01|  DMA      0x00000000 -> 0x00007000
07:04:24/13:08:01|  Normal   0x00007000 -> 0x00007000
07:04:24/13:08:01|Movable zone start PFN for each node
07:04:24/13:08:01|early_node_map[1] active PFN ranges
07:04:24/13:08:01|    0: 0x00000000 -> 0x00007000
07:04:24/13:08:01|MMU: Allocated 1088 bytes of context maps for 255 contexts
07:04:24/13:08:01|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
07:04:24/13:08:02|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
07:04:24/13:08:02|PID hash table entries: 512 (order: 9, 2048 bytes)
07:04:24/13:08:02|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
07:04:24/13:08:02|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
07:04:24/13:08:02|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
07:04:24/13:08:02|Kernel virtual memory layout:
07:04:24/13:08:02|  * 0xffffe000..0xfffff000  : fixmap
07:04:24/13:08:02|  * 0xfde00000..0xfe000000  : consistent mem
07:04:24/13:08:02|  * 0xfde00000..0xfde00000  : early ioremap
07:04:24/13:08:02|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
07:04:24/13:08:02|NR_IRQS:512
07:04:24/13:08:02|clocksource: timebase mult[a00000] shift[22] registered
07:04:24/13:08:02|Console: colour dummy device 80x25
07:04:24/13:08:02|Mount-cache hash table entries: 512
07:04:24/13:08:02|NET: Registered protocol family 16
07:04:24/13:08:02|PCI: Probing PCI hardware
07:04:24/13:08:02|bio: create slab <bio-0> at 0
07:04:24/13:08:02|NET: Registered protocol family 2
07:04:24/13:08:02|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
07:04:24/13:08:02|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
07:04:24/13:08:03|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
07:04:24/13:08:03|TCP: Hash tables configured (established 4096 bind 4096)
07:04:24/13:08:03|TCP reno registered
07:04:24/13:08:03|NET: Registered protocol family 1
07:04:24/13:08:03|ROMFS MTD (C) 2007 Red Hat, Inc.
07:04:24/13:08:03|msgmni has been set to 214
07:04:24/13:08:03|io scheduler noop registered
07:04:24/13:08:03|io scheduler anticipatory registered
07:04:24/13:08:03|io scheduler deadline registered
07:04:24/13:08:03|io scheduler cfq registered (default)
07:04:24/13:08:03|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
07:04:24/13:08:03|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
07:04:24/13:08:03|console [ttyS0] enabled
07:04:24/13:08:03|brd: module loaded
07:04:24/13:08:03|loop: module loaded
07:04:24/13:08:03|Device Tree Probing 'ethernet'
07:04:24/13:08:03|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:ee:71
07:04:24/13:08:03|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
07:04:24/13:08:03|XLlTemac: DCR address: 0x80
07:04:24/13:08:03|XLlTemac: buffer descriptor size: 32768 (0x8000)
07:04:24/13:08:03|XLlTemac: Allocating DMA descriptors with kmalloc
07:04:24/13:08:03|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
07:04:24/13:08:04|XTemac: PHY detected at address 7.
07:04:24/13:08:04|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
07:04:24/13:08:04|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:08:04|Using buffer write method
07:04:24/13:08:04|cfi_cmdset_0001: Erase suspend on write enabled
07:04:24/13:08:04|cmdlinepart partition parsing not available
07:04:24/13:08:04|RedBoot partition parsing not available
07:04:24/13:08:04|Creating 5 MTD partitions on "fc000000.flash":
07:04:24/13:08:04|0x000000000000-0x000000500000 : "golden_firmware"
07:04:24/13:08:04|0x000000500000-0x000000800000 : "golden_kernel"
07:04:24/13:08:04|0x000000800000-0x000000d00000 : "user_firmware"
07:04:24/13:08:04|0x000000d00000-0x000000fe0000 : "user_kernel"
07:04:24/13:08:04|0x000000fe0000-0x000001000000 : "env_variables"
07:04:24/13:08:05|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
07:04:24/13:08:05|SPI: XIlinx spi: bus number now 32766
07:04:24/13:08:05|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
07:04:24/13:08:05|SPI: XIlinx spi: bus number now 32765
07:04:24/13:08:05|mice: PS/2 mouse device common for all mice
07:04:24/13:08:05|Device Tree Probing 'i2c'
07:04:24/13:08:05| #0 at 0x81600000 mapped to 0xD1030000, irq=22
07:04:24/13:08:05|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
07:04:24/13:08:05|TCP cubic registered
07:04:24/13:08:05|NET: Registered protocol family 17
07:04:24/13:08:05|RPC: Registered udp transport module.
07:04:24/13:08:05|RPC: Registered tcp transport module.
07:04:24/13:08:05|eth0: XLlTemac: Options: 0x3fa
07:04:24/13:08:06|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
07:04:24/13:08:06|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
07:04:24/13:08:06|eth0: XLlTemac: speed set to 1000Mb/s
07:04:24/13:08:08|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
07:04:24/13:08:08|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
07:04:24/13:08:08|Sending DHCP requests .
07:04:24/13:08:10|eth0: XLlTemac: PHY Link carrier lost.
07:04:24/13:08:10|..... timed out!
07:04:24/13:09:39|IP-Config: Reopening network devices...
07:04:24/13:09:39|eth0: XLlTemac: Options: 0x3fa
07:04:24/13:09:40|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
07:04:24/13:09:40|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
07:04:24/13:09:40|eth0: XLlTemac: speed set to 1000Mb/s
07:04:24/13:09:42|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
07:04:24/13:09:42|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
07:04:24/13:09:42|Sending DHCP requests ...... timed out!
07:04:24/13:11:15|IP-Config: Auto-configuration of network failed.
07:04:24/13:11:15|Root-NFS: No NFS server available, giving up.
07:04:24/13:11:15|VFS: Unable to mount root fs via NFS, trying floppy.
07:04:24/13:11:15|VFS: Cannot open root device "nfs" or unknown-block(2,0)
07:04:24/13:11:15|Please append a correct "root=" boot option; here are the available partitions:
07:04:24/13:11:15|Kernel panic - not syncing: VFS: Unable to mount root fs on unknown-block(2,0)
07:04:24/13:11:15|Call Trace:
07:04:24/13:11:15|[c6827ed0] [c0005de8] show_stack+0x44/0x16c (unreliable)
07:04:24/13:11:15|[c6827f10] [c00345bc] panic+0x94/0x168
07:04:24/13:11:15|[c6827f60] [c0341d34] mount_block_root+0x12c/0x244
07:04:24/13:11:15|[c6827fb0] [c03420d8] prepare_namespace+0x17c/0x208
07:04:24/13:11:15|[c6827fd0] [c0341220] kernel_init+0x104/0x130
07:04:24/13:11:15|[c6827ff0] [c000e140] kernel_thread+0x4c/0x68
07:04:24/13:11:15|Rebooting in 180 seconds..
ISOL Version 1.00 Date 9th January 2017
Flash base address=FC000000
Set Flash to ASync Mode
XST_SUCCESS:14:15|
Finished copying zImage to RAM 
07:04:24/13:14:16|
Found 0 errors checking kernel image
07:04:24/13:14:17|VHDL version number 0X03350706 
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
Starting LMK 3200 setup 
07:04:24/13:14:17|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
.... SPI Base Address=0x81400000
clk_control_reg=0x4
07:04:24/13:14:17|Next step is SPIconfig
Control 32(0x81400000)=0x180
SlaveSel(0x81400000)=0x3
Ctrl(0x81400000)=0xE6
Ctrl(0x81400000)=0x86
07:04:24/13:14:17|SPIconfig done now to set up the LMK3200 registers
07:04:24/13:14:17|LMK #0 : regInit[0]=0x80000000
07:04:24/13:14:18|LMK #0 : regInit[1]=0x10070600
07:04:24/13:14:18|LMK #0 : regInit[2]=0x60601
07:04:24/13:14:18|LMK #0 : regInit[3]=0x60602
07:04:24/13:14:18|LMK #0 : regInit[4]=0x60603
07:04:24/13:14:18|LMK #0 : regInit[5]=0x70624
07:04:24/13:14:18|LMK #0 : regInit[6]=0x70605
07:04:24/13:14:18|LMK #0 : regInit[7]=0x70606
07:04:24/13:14:18|LMK #0 : regInit[8]=0x70627
07:04:24/13:14:18|LMK #0 : regInit[9]=0x10000908
07:04:24/13:14:18|LMK #0 : regInit[10]=0xA0022A09
07:04:24/13:14:18|LMK #0 : regInit[11]=0x82800B
07:04:24/13:14:18|LMK #0 : regInit[12]=0x28C800D
07:04:24/13:14:18|LMK #0 : regInit[13]=0x830020E
07:04:24/13:14:18|LMK #0 : regInit[14]=0xC800180F
Calibrate completed at 941 counts
Setting Clock Control =0x0000000B, to set GOE and sync bit
Ctrl @ SPIstop (0x81400000)=0x186
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
07:04:24/13:14:18|
Finished Clock setup LMK03200
completed LMK 3200 setup 
Loaded all four ASICs with default settings 
Setting the ADCs into calibration mode 
07:04:24/13:14:18|
Control 32(0x81400400)=0x180
SlaveSel(0x81400400)=0xFF
Ctrl(0x81400400)=0xE6
Ctrl(0x81400400)=0x86
Init : Config of AD9252 SPI ok
07:04:24/13:14:19|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
Cal DCMs not locked 
ADC calibrate failed 
Jumping to kernel simpleboot...
07:04:24/13:14:19|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
Allocating 0x3b78cc bytes for kernel ...
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
07:04:24/13:14:22|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
Finalizing device tree... flat tree at 0xbd1300
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xee 0x71 
07:04:24/13:14:28|Using Xilinx Virtex440 machine description
07:04:24/13:14:29|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
07:04:24/13:14:29|Zone PFN ranges:
07:04:24/13:14:29|  DMA      0x00000000 -> 0x00007000
07:04:24/13:14:29|  Normal   0x00007000 -> 0x00007000
07:04:24/13:14:29|Movable zone start PFN for each node
07:04:24/13:14:29|early_node_map[1] active PFN ranges
07:04:24/13:14:29|    0: 0x00000000 -> 0x00007000
07:04:24/13:14:29|MMU: Allocated 1088 bytes of context maps for 255 contexts
07:04:24/13:14:29|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
07:04:24/13:14:29|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
07:04:24/13:14:30|PID hash table entries: 512 (order: 9, 2048 bytes)
07:04:24/13:14:30|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
07:04:24/13:14:30|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
07:04:24/13:14:30|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
07:04:24/13:14:30|Kernel virtual memory layout:
07:04:24/13:14:30|  * 0xffffe000..0xfffff000  : fixmap
07:04:24/13:14:30|  * 0xfde00000..0xfe000000  : consistent mem
07:04:24/13:14:30|  * 0xfde00000..0xfde00000  : early ioremap
07:04:24/13:14:30|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
07:04:24/13:14:30|NR_IRQS:512
07:04:24/13:14:30|clocksource: timebase mult[a00000] shift[22] registered
07:04:24/13:14:30|Console: colour dummy device 80x25
07:04:24/13:14:30|Mount-cache hash table entries: 512
07:04:24/13:14:30|NET: Registered protocol family 16
07:04:24/13:14:30|PCI: Probing PCI hardware
07:04:24/13:14:30|bio: create slab <bio-0> at 0
07:04:24/13:14:30|NET: Registered protocol family 2
07:04:24/13:14:30|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
07:04:24/13:14:30|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
07:04:24/13:14:31|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
07:04:24/13:14:31|TCP: Hash tables configured (established 4096 bind 4096)
07:04:24/13:14:31|TCP reno registered
07:04:24/13:14:31|NET: Registered protocol family 1
07:04:24/13:14:31|ROMFS MTD (C) 2007 Red Hat, Inc.
07:04:24/13:14:31|msgmni has been set to 214
07:04:24/13:14:31|io scheduler noop registered
07:04:24/13:14:31|io scheduler anticipatory registered
07:04:24/13:14:31|io scheduler deadline registered
07:04:24/13:14:31|io scheduler cfq registered (default)
07:04:24/13:14:31|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
07:04:24/13:14:31|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
07:04:24/13:14:31|console [ttyS0] enabled
07:04:24/13:14:31|brd: module loaded
07:04:24/13:14:31|loop: module loaded
07:04:24/13:14:31|Device Tree Probing 'ethernet'
07:04:24/13:14:31|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:ee:71
07:04:24/13:14:31|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
07:04:24/13:14:31|XLlTemac: DCR address: 0x80
07:04:24/13:14:31|XLlTemac: buffer descriptor size: 32768 (0x8000)
07:04:24/13:14:31|XLlTemac: Allocating DMA descriptors with kmalloc
07:04:24/13:14:31|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
07:04:24/13:14:32|XTemac: PHY detected at address 7.
07:04:24/13:14:32|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
07:04:24/13:14:32|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32| Intel/Sharp Extended Query Table at 0x010A
07:04:24/13:14:32|Using buffer write method
07:04:24/13:14:32|cfi_cmdset_0001: Erase suspend on write enabled
07:04:24/13:14:32|cmdlinepart partition parsing not available
07:04:24/13:14:32|RedBoot partition parsing not available
07:04:24/13:14:32|Creating 5 MTD partitions on "fc000000.flash":
07:04:24/13:14:32|0x000000000000-0x000000500000 : "golden_firmware"
07:04:24/13:14:32|0x000000500000-0x000000800000 : "golden_kernel"
07:04:24/13:14:32|0x000000800000-0x000000d00000 : "user_firmware"
07:04:24/13:14:32|0x000000d00000-0x000000fe0000 : "user_kernel"
07:04:24/13:14:32|0x000000fe0000-0x000001000000 : "env_variables"
07:04:24/13:14:33|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
07:04:24/13:14:33|SPI: XIlinx spi: bus number now 32766
07:04:24/13:14:33|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
07:04:24/13:14:33|SPI: XIlinx spi: bus number now 32765
07:04:24/13:14:33|mice: PS/2 mouse device common for all mice
07:04:24/13:14:33|Device Tree Probing 'i2c'
07:04:24/13:14:33| #0 at 0x81600000 mapped to 0xD1030000, irq=22
07:04:24/13:14:33|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
07:04:24/13:14:33|TCP cubic registered
07:04:24/13:14:33|NET: Registered protocol family 17
07:04:24/13:14:33|RPC: Registered udp transport module.
07:04:24/13:14:33|RPC: Registered tcp transport module.
07:04:24/13:14:33|eth0: XLlTemac: Options: 0x3fa
07:04:24/13:14:34|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
07:04:24/13:14:34|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
07:04:24/13:14:34|eth0: XLlTemac: speed set to 1000Mb/s
07:04:24/13:14:36|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
07:04:24/13:14:36|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
07:04:24/13:14:36|Sending DHCP requests ., OK
07:04:24/13:14:37|IP-Config: Got DHCP answer from 192.168.11.99, my address is 192.168.11.6
07:04:24/13:14:37|IP-Config: Complete:
07:04:24/13:14:37|     device=eth0, addr=192.168.11.6, mask=255.255.255.0, gw=255.255.255.255,
07:04:24/13:14:37|     host=aida06, domain=dl.ac.uk, nis-domain=nuclear.physics,
07:04:24/13:14:37|     bootserver=192.168.11.99, rootserver=192.168.11.99, rootpath=/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06
07:04:24/13:14:37|Looking up port of RPC 100003/2 on 192.168.11.99
07:04:24/13:14:37|Looking up port of RPC 100005/1 on 192.168.11.99
07:04:24/13:14:37|VFS: Mounted root (nfs filesystem) on device 0:12.
07:04:24/13:14:38|Freeing unused kernel memory: 168k init
INIT: version 2.86 booting
07:04:24/13:14:38|Starting sysinit...
07:04:24/13:14:38|		Welcome to DENX & STFC Daresbury Embedded Linux Environment
07:04:24/13:14:39|		Press 'I' to enter interactive startup.
07:04:24/13:14:39|Setting clock  (utc): Sun Apr  7 12:14:39 BST 2024 [  OK  ]
07:04:24/13:14:39|Building the cache [  OK  ]
07:04:24/13:14:39|Setting hostname aida06:  [  OK  ]
07:04:24/13:14:41|Mounting local filesystems:  [  OK  ]
07:04:24/13:14:42|Enabling /etc/fstab swaps:  [  OK  ]
07:04:24/13:14:45|Finishing sysinit...
INIT: Entering runlevel: 3
07:04:24/13:14:48|Entering non-interactive startup
07:04:24/13:14:48|FATAL: Module ipv6 not found.
07:04:24/13:14:49|Bringing up loopback interface:  [  OK  ]
07:04:24/13:14:52|FATAL: Module ipv6 not found.
07:04:24/13:14:53|Starting system logger: [  OK  ]
07:04:24/13:14:54|Starting kernel logger: [  OK  ]
07:04:24/13:14:54|Starting rpcbind: [  OK  ]
07:04:24/13:14:56|Mounting NFS filesystems:  [  OK  ]
07:04:24/13:14:56|Mounting other filesystems:  [  OK  ]
07:04:24/13:14:56|Starting xinetd: [  OK  ]
07:04:24/13:14:57|Starting midas:  Starting MIDAS Data Acquisition for aida06
07:04:24/13:14:58|xaida: device parameters: base=0x81000000 size=0x200000
07:04:24/13:15:03|Trying to free nonexistent resource <0000000081000000-00000000811fffff>
07:04:24/13:15:03|xaida: mem region start 0x81000000 for 0x200000 mapped at 0xd2100000
07:04:24/13:15:03|xaida: driver assigned major number 254
07:04:24/13:15:04|Trying to free nonexistent resource <0000000007000000-0000000007ffffff>
07:04:24/13:15:09|AIDAMEM: aidamem: mem region start 0x7000000 for 0x1000000 mapped at 0xd2380000
07:04:24/13:15:09|AIDAMEM: aidamem: driver assigned major number 253
07:04:24/13:15:09|System identified is CPU ppc; Platform is unix; OS is Linux and Version is 2.6.31
07:04:24/13:15:16|Environment selected is CPU ppc; Platform unix; OS Linux and Operating System linux-ppc_4xx
07:04:24/13:15:16|MIDASBASE = /MIDAS and MIDAS_LIBRARY = /MIDAS/TclHttpd/linux-ppc_4xx
07:04:24/13:15:16|PATH = /MIDAS/bin_linux-ppc_4xx:/MIDAS/TclHttpd/linux-ppc_4xx:/MIDAS/linux-ppc_4xx/bin:/MIDAS/linux-ppc_4xx/bin:/sbin:/usr/sbin:/bin:/usr/bin
07:04:24/13:15:16|Computer Name = aida06; Temp Directory = /tmp/tcl361
07:04:24/13:15:21|
07:04:24/13:15:25|AIDA Data Acquisition Program Release 10.0.Jul  6 2022_15:10:57 starting
07:04:24/13:15:25|
07:04:24/13:15:25|Built without pthreads
07:04:24/13:15:25|
07:04:24/13:15:25|Creating NetVars
07:04:24/13:15:25|Output buffer length = 65504; format option = 4; transfer option = 3
07:04:24/13:15:25|EB transfer option = 3
07:04:24/13:15:26|NetVars creaxaida: open:
07:04:24/13:15:26|ted and initialised
07:04:24/13:15:26|StatisticsAIDAMEM: aidamem_open:
07:04:24/13:15:26| thread starting
07:04:24/13:15:26|Data Acquisition task has PID 375
07:04:24/13:15:26|Statistics thread created
07:04:24/13:15:26|Stat/Rate creation thread starting
07:04:24/13:15:26|Stat/Rate creation thread created
07:04:24/13:15:26|Hit/Rate creation thread starting
07:04:24/13:15:26|Hit/Rate creation thread created
07:04:24/13:15:26|AIDA Heartbeat thread starting
07:04:24/13:15:26|Heartbeat thread created
07:04:24/13:15:26|Installing signal handlers
07:04:24/13:15:26|Done
07:04:24/13:15:26|ModuleNum = 0
07:04:24/13:15:26|Aida Initialise complete. AidaExecV10.0: Build Jul  6 2022_15:10:57. HDL version : 03350706 
07:04:24/13:15:26|package limit is not available: can't find package limit
07:04:24/13:15:26|Running with default file descriptor limit
07:04:24/13:15:26|Spectra table initialised
07:04:24/13:15:26|AIDA Data Acquisition now all ready to start
07:04:24/13:15:26|SIGBUS, SIGSEGV and SIGPIPE traps setup
07:04:24/13:15:26|package setuid is not available: can't find package setuid
07:04:24/13:15:27|Running as user 0 group 0
07:04:24/13:15:27|[  OK  ]
07:04:24/13:15:36|
07:04:24/13:15:36|DENX ELDK version 4.2 build 2008-04-01
07:04:24/13:15:36|Linux 2.6.31 on a ppc
07:04:24/13:15:36|
07:04:24/13:15:36|aida06 login: /debug user
  560   Sun Apr 7 12:02:23 2024 TDSunday 7 April
13.00 Cooling water temperature and flow OK - attachment 1

      Test of AIDA 2x MSL type BB18(DS)-1000 24cm x 8cm DSSSDs 'as is' ( to be defined later in this Elog )

      DSSSD bias & leakage current OK - attachment 2
      Ambient temp 24.7 deg C, d.p. 7.1 deg C, RH 32.4%
      Leakage current c. 6.6uA => 4nA/cm2/100um ( very good )

      FEE64 temps OK - attachment 3
      *except* aida02 ASIC temp which is known to be u/s

      All system wide checks OK *except* aida02 and aida04 WR decoder status - attachment 4

      WR timestamps OK - attachment 5

      WR (info code 4 & 5), correlation scaler, PAUSE, RESUME, DISC, ADC data item stats - attachments 6-12

      per FEE64 Rate spectra - attachments 13-15

      per 1.8.W spectra - 20us FSR - attachments 16-1710129


14.45 FEE64 config check - per https://elog.ph.ed.ac.uk/DESPEC/562


FEE64 # PSU cable #           MAC
1       1                     ?
2       2                     ?
3       3                     ?
4       4                     41 ee 71
5       5                     ?
6       6                     ?
7       7                     f6 5a
8       8                     41 d7 cd
9       ?                     ?
10      10                    41 d0 0e
11      11                    41 ee 0f
12      ?                     ?
13      13                    ?
14      ?                     0d 15
15      15                    ?
16      16                    f6 ed

? = no line of sight

- AIDA FEE64 PSU cabling - see attachment 18

Currently

PSU #1 1-3, 2-4, 9-5, 15-12
PSU #2 14-7, 6-8, 10-13, 11-16

Should be changed to

PSU #1 1-3, 2-4, 9-15, 5-12
PSU #2 14-7, 6-8, 10-11, 13-16


- AIDA snout mount to support frame - attachments 19 & 21
LHS ( looking downstream ) incorrect, RHS OK

- LKs
LK1 fitted aida02, aida04, aida06, aida08
LK3 fitted aida03, aida07, aida01, aida14


Significant gaps in snout ( i.e. possible light leaks ) where bPlas cabling exits snout - attachments 20 & 22

bPlas driver PCBs removed 
bPlas ribbon cables, drain wires and Lemo 00.250 cabling disconnected - some cabling/drain wires touching snout etc


15.15 Adjust bPlas ribbon cables/drain wires/Lemo cables to ensure that none are touching snout or AIDA support frame - significant improvement

      DSSSD bias & leakage current OK - attachment 23

      FEE64 temps OK - attachment 24

      ADC data item stats - attachments 25

      per FEE64 Rate spectra - attachments 26
       6x FEE64s < 20k. all FEE64s < 120k ( cf. 4x FEE64s < 20k, 5x FEE64s > 100k, 1x FEE64 320k earlier - attachment 12 )

      per 1.8.W spectra - 20us FSR - attachments 27-28

17.15

LHS top hat washers
LK1, LK3
PSU power cables
tighten gnd screws
attachments 29-34

Note that some of the newly installed FEE64s have the incorrect rails fitted - FEE64s may ground to AIDA support stand

17.55 CAEN N1419ET LK removed -> floating outputs

18.15 +LK1 restored
attachments 35-40

18.40 +pwwer cycle
attachments 41-46
Attachment 1: 20240407_125941.jpg
20240407_125941.jpg
Attachment 2: Screenshot_from_2024-04-07_13-20-57.png
Screenshot_from_2024-04-07_13-20-57.png
Attachment 3: Screenshot_from_2024-04-07_13-29-54.png
Screenshot_from_2024-04-07_13-29-54.png
Attachment 4: Screenshot_from_2024-04-07_13-32-14.png
Screenshot_from_2024-04-07_13-32-14.png
Attachment 5: Screenshot_from_2024-04-07_13-36-47.png
Screenshot_from_2024-04-07_13-36-47.png
Attachment 6: Screenshot_from_2024-04-07_13-42-52.png
Screenshot_from_2024-04-07_13-42-52.png
Attachment 7: Screenshot_from_2024-04-07_13-42-26.png
Screenshot_from_2024-04-07_13-42-26.png
Attachment 8: Screenshot_from_2024-04-07_13-42-04.png
Screenshot_from_2024-04-07_13-42-04.png
Attachment 9: Screenshot_from_2024-04-07_13-41-37.png
Screenshot_from_2024-04-07_13-41-37.png
Attachment 10: Screenshot_from_2024-04-07_13-41-25.png
Screenshot_from_2024-04-07_13-41-25.png
Attachment 11: Screenshot_from_2024-04-07_13-41-03.png
Screenshot_from_2024-04-07_13-41-03.png
Attachment 12: Screenshot_from_2024-04-07_13-40-35.png
Screenshot_from_2024-04-07_13-40-35.png
Attachment 13: Screenshot_from_2024-04-07_13-47-03.png
Screenshot_from_2024-04-07_13-47-03.png
Attachment 14: Screenshot_from_2024-04-07_13-46-47.png
Screenshot_from_2024-04-07_13-46-47.png
Attachment 15: Screenshot_from_2024-04-07_13-46-39.png
Screenshot_from_2024-04-07_13-46-39.png
Attachment 16: Screenshot_from_2024-04-07_13-53-21.png
Screenshot_from_2024-04-07_13-53-21.png
Attachment 17: Screenshot_from_2024-04-07_13-52-25.png
Screenshot_from_2024-04-07_13-52-25.png
Attachment 18: 20240407_143456.jpg
20240407_143456.jpg
Attachment 19: 20240407_143510.jpg
20240407_143510.jpg
Attachment 20: 20240407_143553.jpg
20240407_143553.jpg
Attachment 21: 20240407_143532.jpg
20240407_143532.jpg
Attachment 22: 20240407_143539.jpg
20240407_143539.jpg
Attachment 23: Screenshot_from_2024-04-07_15-10-39.png
Screenshot_from_2024-04-07_15-10-39.png
Attachment 24: Screenshot_from_2024-04-07_15-10-53.png
Screenshot_from_2024-04-07_15-10-53.png
Attachment 25: Screenshot_from_2024-04-07_15-13-00.png
Screenshot_from_2024-04-07_15-13-00.png
Attachment 26: Screenshot_from_2024-04-07_15-12-49.png
Screenshot_from_2024-04-07_15-12-49.png
Attachment 27: Screenshot_from_2024-04-07_15-11-54.png
Screenshot_from_2024-04-07_15-11-54.png
Attachment 28: Screenshot_from_2024-04-07_15-11-06.png
Screenshot_from_2024-04-07_15-11-06.png
Attachment 29: Screenshot_from_2024-04-07_17-14-23.png
Screenshot_from_2024-04-07_17-14-23.png
Attachment 30: Screenshot_from_2024-04-07_17-13-49.png
Screenshot_from_2024-04-07_17-13-49.png
Attachment 31: Screenshot_from_2024-04-07_17-11-59.png
Screenshot_from_2024-04-07_17-11-59.png
Attachment 32: Screenshot_from_2024-04-07_17-11-47.png
Screenshot_from_2024-04-07_17-11-47.png
Attachment 33: Screenshot_from_2024-04-07_17-11-38.png
Screenshot_from_2024-04-07_17-11-38.png
Attachment 34: Screenshot_from_2024-04-07_17-11-19.png
Screenshot_from_2024-04-07_17-11-19.png
Attachment 35: Screenshot_from_2024-04-07_18-12-38.png
Screenshot_from_2024-04-07_18-12-38.png
Attachment 36: Screenshot_from_2024-04-07_18-12-53.png
Screenshot_from_2024-04-07_18-12-53.png
Attachment 37: Screenshot_from_2024-04-07_18-13-03.png
Screenshot_from_2024-04-07_18-13-03.png
Attachment 38: Screenshot_from_2024-04-07_18-14-51.png
Screenshot_from_2024-04-07_18-14-51.png
Attachment 39: Screenshot_from_2024-04-07_18-14-12.png
Screenshot_from_2024-04-07_18-14-12.png
Attachment 40: Screenshot_from_2024-04-07_18-13-10.png
Screenshot_from_2024-04-07_18-13-10.png
Attachment 41: Screenshot_from_2024-04-07_18-44-32.png
Screenshot_from_2024-04-07_18-44-32.png
Attachment 42: Screenshot_from_2024-04-07_18-44-08.png
Screenshot_from_2024-04-07_18-44-08.png
Attachment 43: Screenshot_from_2024-04-07_18-43-30.png
Screenshot_from_2024-04-07_18-43-30.png
Attachment 44: Screenshot_from_2024-04-07_18-43-21.png
Screenshot_from_2024-04-07_18-43-21.png
Attachment 45: Screenshot_from_2024-04-07_18-43-12.png
Screenshot_from_2024-04-07_18-43-12.png
Attachment 46: Screenshot_from_2024-04-07_18-42-56.png
Screenshot_from_2024-04-07_18-42-56.png
  559   Wed Apr 3 13:02:19 2024 NHMerger for 16 FEEs
Changed /MIDAS/Linux/startup/NewMerger

Change parameters -i and -l in master64 to 16 for 16 FEEs
Update NewMerger Options LinksAvailable to 16, LinksInUse to 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%
Fix NetVar RunOptions 1 (was 0)

Restart Merger HTTPd, Tape, Merger, MBS Spy

Reset/Setup/Go 

16 Links green and status going, all good?

Bias DSSSDs and turn data transfer on

Merger connected, shows rate and updates... no rate in Tape Server?

.. Oops forget to turn on Output to data storage in merger!

Rate in tape server and to MBS: 7 MB/s

Merger, Tape and MBS working with 16 FEEs
Attachment 1: Screenshot_from_2024-04-03_14-15-02.png
Screenshot_from_2024-04-03_14-15-02.png
Attachment 2: Screenshot_from_2024-04-03_14-15-25.png
Screenshot_from_2024-04-03_14-15-25.png
Attachment 3: Screenshot_from_2024-04-03_14-15-36.png
Screenshot_from_2024-04-03_14-15-36.png
Attachment 4: Screenshot_from_2024-04-03_14-15-45.png
Screenshot_from_2024-04-03_14-15-45.png
Attachment 5: Screenshot_from_2024-04-03_14-15-52.png
Screenshot_from_2024-04-03_14-15-52.png
  558   Wed Apr 3 12:42:57 2024 NHReport aida02 WR errors

The WR error counter for aida02 seems to consantly rise

Tried reseating cable on both ends, no change

However clock status passed, aida02 has a correct WR timestamp and no FIFO/PLL errors seen

Edit to add: aida02 has the faulty ASIC temperature readout as well, related or coincidence?

Attachment 1: Screenshot_from_2024-04-03_13-43-48.png
Screenshot_from_2024-04-03_13-43-48.png
Attachment 2: Screenshot_from_2024-04-03_13-43-38.png
Screenshot_from_2024-04-03_13-43-38.png
Attachment 3: Screenshot_from_2024-04-03_13-43-58.png
Screenshot_from_2024-04-03_13-43-58.png
Attachment 4: Screenshot_from_2024-04-03_13-44-14.png
Screenshot_from_2024-04-03_13-44-14.png
Attachment 5: Screenshot_from_2024-04-03_13-44-36.png
Screenshot_from_2024-04-03_13-44-36.png
  557   Wed Apr 3 12:09:47 2024 NHReport - aida06 frequently fails to boot first time (PHY error)

When booting up AIDA aida06 usually crashes the first time, it fails to get IP from DHCP
After 180 seconds it reboots and seems to connect fine

Log file attached, key part (to me) is this:

27:03:24/14:13:19|Sending DHCP requests .
27:03:24/14:13:21|eth0: XLlTemac: PHY Link carrier lost.
27:03:24/14:13:21|..... timed out!
27:03:24/14:14:33|IP-Config: Reopening network devices...
27:03:24/14:14:33|eth0: XLlTemac: Options: 0x3fa
27:03:24/14:14:34|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
27:03:24/14:14:34|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
27:03:24/14:14:34|eth0: XLlTemac: speed set to 1000Mb/s
27:03:24/14:14:36|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
27:03:24/14:14:36|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
27:03:24/14:14:36|Sending DHCP requests ......
27:03:24/14:26:16|
Attachment 1: ttyUSB15
27:03:24/14:12:59|
ISOL Version 1.00 Date 9th January 2017
27:03:24/14:12:59|
Flash base address=FC000000
27:03:24/14:12:59|
Set Flash to ASync Mode
27:03:24/14:12:59|
XST_SUCCESS
27:03:24/14:12:59|
Finished copying zImage to RAM 
27:03:24/14:12:59|
27:03:24/14:12:59|
Found 0 errors checking kernel image
27:03:24/14:13:00|VHDL version number 0X03350706 
27:03:24/14:13:00|
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
27:03:24/14:13:00|
Starting LMK 3200 setup 
27:03:24/14:13:00|
27:03:24/14:13:00|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
27:03:24/14:13:00|
.... SPI Base Address=0x81400000
27:03:24/14:13:00|
clk_control_reg=0x4
27:03:24/14:13:01|Next step is SPIconfig
27:03:24/14:13:01|
Control 32(0x81400000)=0x180
27:03:24/14:13:01|
SlaveSel(0x81400000)=0x3
27:03:24/14:13:01|
Ctrl(0x81400000)=0xE6
27:03:24/14:13:01|
Ctrl(0x81400000)=0x86
27:03:24/14:13:01|SPIconfig done now to set up the LMK3200 registers
27:03:24/14:13:01|LMK #0 : regInit[0]=0x80000000
27:03:24/14:13:01|LMK #0 : regInit[1]=0x10070600
27:03:24/14:13:01|LMK #0 : regInit[2]=0x60601
27:03:24/14:13:01|LMK #0 : regInit[3]=0x60602
27:03:24/14:13:01|LMK #0 : regInit[4]=0x60603
27:03:24/14:13:01|LMK #0 : regInit[5]=0x70624
27:03:24/14:13:01|LMK #0 : regInit[6]=0x70605
27:03:24/14:13:01|LMK #0 : regInit[7]=0x70606
27:03:24/14:13:01|LMK #0 : regInit[8]=0x70627
27:03:24/14:13:01|LMK #0 : regInit[9]=0x10000908
27:03:24/14:13:01|LMK #0 : regInit[10]=0xA0022A09
27:03:24/14:13:01|LMK #0 : regInit[11]=0x82800B
27:03:24/14:13:01|LMK #0 : regInit[12]=0x28C800D
27:03:24/14:13:01|LMK #0 : regInit[13]=0x830020E
27:03:24/14:13:01|LMK #0 : regInit[14]=0xC800180F
27:03:24/14:13:01|
Calibrate completed at 943 counts
27:03:24/14:13:01|
Setting Clock Control =0x0000000B, to set GOE and sync bit
27:03:24/14:13:01|
Ctrl @ SPIstop (0x81400000)=0x186
27:03:24/14:13:01|
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
27:03:24/14:13:01|
27:03:24/14:13:01|
Finished Clock setup LMK03200
27:03:24/14:13:01|
completed LMK 3200 setup 
27:03:24/14:13:02|
Loaded all four ASICs with default settings 
27:03:24/14:13:02|
Setting the ADCs into calibration mode 
27:03:24/14:13:02|
27:03:24/14:13:02|
Control 32(0x81400400)=0x180
27:03:24/14:13:02|
SlaveSel(0x81400400)=0xFF
27:03:24/14:13:02|
Ctrl(0x81400400)=0xE6
27:03:24/14:13:02|
Ctrl(0x81400400)=0x86
27:03:24/14:13:02|
Init : Config of AD9252 SPI ok
27:03:24/14:13:02|
27:03:24/14:13:02|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
27:03:24/14:13:02|
Cal not completed 
27:03:24/14:13:02|
ADC calibrate failed 
27:03:24/14:13:02|
Jumping to kernel simpleboot...
27:03:24/14:13:02|
27:03:24/14:13:02|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
27:03:24/14:13:02|
Allocating 0x3b78cc bytes for kernel ...
27:03:24/14:13:02|
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
27:03:24/14:13:05|
27:03:24/14:13:05|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
27:03:24/14:13:12|
Finalizing device tree... flat tree at 0xbd1300
27:03:24/14:13:12|
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xee 0x71 
27:03:24/14:13:12|Using Xilinx Virtex440 machine description
27:03:24/14:13:12|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
27:03:24/14:13:12|Zone PFN ranges:
27:03:24/14:13:12|  DMA      0x00000000 -> 0x00007000
27:03:24/14:13:12|  Normal   0x00007000 -> 0x00007000
27:03:24/14:13:12|Movable zone start PFN for each node
27:03:24/14:13:13|early_node_map[1] active PFN ranges
27:03:24/14:13:13|    0: 0x00000000 -> 0x00007000
27:03:24/14:13:13|MMU: Allocated 1088 bytes of context maps for 255 contexts
27:03:24/14:13:13|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
27:03:24/14:13:13|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
27:03:24/14:13:13|PID hash table entries: 512 (order: 9, 2048 bytes)
27:03:24/14:13:13|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
27:03:24/14:13:13|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
27:03:24/14:13:13|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
27:03:24/14:13:13|Kernel virtual memory layout:
27:03:24/14:13:13|  * 0xffffe000..0xfffff000  : fixmap
27:03:24/14:13:13|  * 0xfde00000..0xfe000000  : consistent mem
27:03:24/14:13:13|  * 0xfde00000..0xfde00000  : early ioremap
27:03:24/14:13:13|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
27:03:24/14:13:13|NR_IRQS:512
27:03:24/14:13:13|clocksource: timebase mult[a00000] shift[22] registered
27:03:24/14:13:13|Console: colour dummy device 80x25
27:03:24/14:13:13|Mount-cache hash table entries: 512
27:03:24/14:13:13|NET: Registered protocol family 16
27:03:24/14:13:14|PCI: Probing PCI hardware
27:03:24/14:13:14|bio: create slab <bio-0> at 0
27:03:24/14:13:14|NET: Registered protocol family 2
27:03:24/14:13:14|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
27:03:24/14:13:14|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
27:03:24/14:13:14|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
27:03:24/14:13:14|TCP: Hash tables configured (established 4096 bind 4096)
27:03:24/14:13:14|TCP reno registered
27:03:24/14:13:14|NET: Registered protocol family 1
27:03:24/14:13:14|ROMFS MTD (C) 2007 Red Hat, Inc.
27:03:24/14:13:14|msgmni has been set to 214
27:03:24/14:13:14|io scheduler noop registered
27:03:24/14:13:14|io scheduler anticipatory registered
27:03:24/14:13:14|io scheduler deadline registered
27:03:24/14:13:14|io scheduler cfq registered (default)
27:03:24/14:13:14|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
27:03:24/14:13:14|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
27:03:24/14:13:14|console [ttyS0] enabled
27:03:24/14:13:14|brd: module loaded
27:03:24/14:13:14|loop: module loaded
27:03:24/14:13:14|Device Tree Probing 'ethernet'
27:03:24/14:13:14|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:ee:71
27:03:24/14:13:14|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
27:03:24/14:13:15|XLlTemac: DCR address: 0x80
27:03:24/14:13:15|XLlTemac: buffer descriptor size: 32768 (0x8000)
27:03:24/14:13:15|XLlTemac: Allocating DMA descriptors with kmalloc
27:03:24/14:13:15|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
27:03:24/14:13:15|XTemac: PHY detected at address 7.
27:03:24/14:13:15|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
27:03:24/14:13:15|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:13:15|Using buffer write method
27:03:24/14:13:15|cfi_cmdset_0001: Erase suspend on write enabled
27:03:24/14:13:15|cmdlinepart partition parsing not available
27:03:24/14:13:15|RedBoot partition parsing not available
27:03:24/14:13:15|Creating 5 MTD partitions on "fc000000.flash":
27:03:24/14:13:15|0x000000000000-0x000000500000 : "golden_firmware"
27:03:24/14:13:16|0x000000500000-0x000000800000 : "golden_kernel"
27:03:24/14:13:16|0x000000800000-0x000000d00000 : "user_firmware"
27:03:24/14:13:16|0x000000d00000-0x000000fe0000 : "user_kernel"
27:03:24/14:13:16|0x000000fe0000-0x000001000000 : "env_variables"
27:03:24/14:13:16|xilinx-xps-spi 81400400.hd-xps-spi: at 0x81400400 mapped to 0xD1028400, irq=20
27:03:24/14:13:16|SPI: XIlinx spi: bus number now 32766
27:03:24/14:13:16|xilinx-xps-spi 81400000.xps-spi: at 0x81400000 mapped to 0xD102C000, irq=21
27:03:24/14:13:16|SPI: XIlinx spi: bus number now 32765
27:03:24/14:13:16|mice: PS/2 mouse device common for all mice
27:03:24/14:13:16|Device Tree Probing 'i2c'
27:03:24/14:13:16| #0 at 0x81600000 mapped to 0xD1030000, irq=22
27:03:24/14:13:16|at24 0-0050: 1024 byte 24c08 EEPROM (writable)
27:03:24/14:13:16|TCP cubic registered
27:03:24/14:13:16|NET: Registered protocol family 17
27:03:24/14:13:16|RPC: Registered udp transport module.
27:03:24/14:13:16|RPC: Registered tcp transport module.
27:03:24/14:13:16|eth0: XLlTemac: Options: 0x3fa
27:03:24/14:13:17|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
27:03:24/14:13:17|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
27:03:24/14:13:17|eth0: XLlTemac: speed set to 1000Mb/s
27:03:24/14:13:19|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
27:03:24/14:13:19|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
27:03:24/14:13:19|Sending DHCP requests .
27:03:24/14:13:21|eth0: XLlTemac: PHY Link carrier lost.
27:03:24/14:13:21|..... timed out!
27:03:24/14:14:33|IP-Config: Reopening network devices...
27:03:24/14:14:33|eth0: XLlTemac: Options: 0x3fa
27:03:24/14:14:34|eth0: XLlTemac: allocating interrupt 19 for dma mode tx.
27:03:24/14:14:34|eth0: XLlTemac: allocating interrupt 18 for dma mode rx.
27:03:24/14:14:34|eth0: XLlTemac: speed set to 1000Mb/s
27:03:24/14:14:36|eth0: XLlTemac: Send Threshold = 24, Receive Threshold = 4
27:03:24/14:14:36|eth0: XLlTemac: Send Wait bound = 254, Receive Wait bound = 254
27:03:24/14:14:36|Sending DHCP requests ......
27:03:24/14:26:16|
ISOL Version 1.00 Date 9th January 2017
27:03:24/14:26:16|
Flash base address=FC000000
27:03:24/14:26:16|
Set Flash to ASync Mode
27:03:24/14:26:16|
XST_SUCCESS
27:03:24/14:26:16|
Finished copying zImage to RAM 
27:03:24/14:26:17|
27:03:24/14:26:17|
Found 0 errors checking kernel image
27:03:24/14:26:18|VHDL version number 0X03350706 
27:03:24/14:26:18|
Based on AIDA Bootloader version number 1.2.0 -- 16th August 2012
27:03:24/14:26:18|
Starting LMK 3200 setup 
27:03:24/14:26:18|
27:03:24/14:26:18|
Setting LMK03200 to standard clock settings -- External Clock 23Nov15
27:03:24/14:26:18|
.... SPI Base Address=0x81400000
27:03:24/14:26:18|
clk_control_reg=0x4
27:03:24/14:26:18|Next step is SPIconfig
27:03:24/14:26:18|
Control 32(0x81400000)=0x180
27:03:24/14:26:18|
SlaveSel(0x81400000)=0x3
27:03:24/14:26:18|
Ctrl(0x81400000)=0xE6
27:03:24/14:26:18|
Ctrl(0x81400000)=0x86
27:03:24/14:26:18|SPIconfig done now to set up the LMK3200 registers
27:03:24/14:26:18|LMK #0 : regInit[0]=0x80000000
27:03:24/14:26:18|LMK #0 : regInit[1]=0x10070600
27:03:24/14:26:18|LMK #0 : regInit[2]=0x60601
27:03:24/14:26:19|LMK #0 : regInit[3]=0x60602
27:03:24/14:26:19|LMK #0 : regInit[4]=0x60603
27:03:24/14:26:19|LMK #0 : regInit[5]=0x70624
27:03:24/14:26:19|LMK #0 : regInit[6]=0x70605
27:03:24/14:26:19|LMK #0 : regInit[7]=0x70606
27:03:24/14:26:19|LMK #0 : regInit[8]=0x70627
27:03:24/14:26:19|LMK #0 : regInit[9]=0x10000908
27:03:24/14:26:19|LMK #0 : regInit[10]=0xA0022A09
27:03:24/14:26:19|LMK #0 : regInit[11]=0x82800B
27:03:24/14:26:19|LMK #0 : regInit[12]=0x28C800D
27:03:24/14:26:19|LMK #0 : regInit[13]=0x830020E
27:03:24/14:26:19|LMK #0 : regInit[14]=0xC800180F
27:03:24/14:26:19|
Calibrate completed at 943 counts
27:03:24/14:26:19|
Setting Clock Control =0x0000000B, to set GOE and sync bit
27:03:24/14:26:19|
Ctrl @ SPIstop (0x81400000)=0x186
27:03:24/14:26:19|
Timeout waiting for Lock detect Stage 2 (Zero Delay), PWR_DWN=0x00000004
27:03:24/14:26:19|
27:03:24/14:26:19|
Finished Clock setup LMK03200
27:03:24/14:26:19|
completed LMK 3200 setup 
27:03:24/14:26:19|
Loaded all four ASICs with default settings 
27:03:24/14:26:19|
Setting the ADCs into calibration mode 
27:03:24/14:26:19|
27:03:24/14:26:19|
Control 32(0x81400400)=0x180
27:03:24/14:26:19|
SlaveSel(0x81400400)=0xFF
27:03:24/14:26:19|
Ctrl(0x81400400)=0xE6
27:03:24/14:26:19|
Ctrl(0x81400400)=0x86
27:03:24/14:26:19|
Init : Config of AD9252 SPI ok
27:03:24/14:26:19|
27:03:24/14:26:19|
Ctrl @ SPIstop (0x81400400)=0x186ADCs initialised 
27:03:24/14:26:20|
ADCs calibrated
27:03:24/14:26:20|
27:03:24/14:26:20|
Control 32(0x81400400)=0x186
27:03:24/14:26:20|
SlaveSel(0x81400400)=0xFF
27:03:24/14:26:20|
Ctrl(0x81400400)=0xE6
27:03:24/14:26:20|
Ctrl(0x81400400)=0x86Config of AD9252 SPI ok
27:03:24/14:26:20|
27:03:24/14:26:20|
Ctrl @ SPIstop (0x81400400)=0x186Jumping to kernel simpleboot...
27:03:24/14:26:20|
27:03:24/14:26:20|
zImage starting: loaded at 0x00a00000 (sp: 0x00bc4eb0)
27:03:24/14:26:20|
Allocating 0x3b78cc bytes for kernel ...
27:03:24/14:26:20|
gunzipping (0x00000000 <- 0x00a0f000:0x00bc380e)...done 0x39604c bytes
27:03:24/14:26:23|
27:03:24/14:26:23|
Linux/PowerPC load: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
27:03:24/14:26:29|
Finalizing device tree... flat tree at 0xbd1300
27:03:24/14:26:29|
Probing IIC bus for MAC... MAC address = 0xd8 0x80 0x39 0x41 0xee 0x71 
27:03:24/14:26:30|Using Xilinx Virtex440 machine description
27:03:24/14:26:30|Linux version 2.6.31 (nf@nnlxb.dl.ac.uk) (gcc version 4.2.2) #34 PREEMPT Tue Nov 15 15:57:04 GMT 2011
27:03:24/14:26:30|Zone PFN ranges:
27:03:24/14:26:30|  DMA      0x00000000 -> 0x00007000
27:03:24/14:26:30|  Normal   0x00007000 -> 0x00007000
27:03:24/14:26:30|Movable zone start PFN for each node
27:03:24/14:26:30|early_node_map[1] active PFN ranges
27:03:24/14:26:30|    0: 0x00000000 -> 0x00007000
27:03:24/14:26:30|MMU: Allocated 1088 bytes of context maps for 255 contexts
27:03:24/14:26:30|Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 28448
27:03:24/14:26:31|Kernel command line: console=ttyS0 root=/dev/nfs ip=on rw mem=112M
27:03:24/14:26:31|PID hash table entries: 512 (order: 9, 2048 bytes)
27:03:24/14:26:31|Dentry cache hash table entries: 16384 (order: 4, 65536 bytes)
27:03:24/14:26:31|Inode-cache hash table entries: 8192 (order: 3, 32768 bytes)
27:03:24/14:26:31|Memory: 109680k/114688k available (3500k kernel code, 4852k reserved, 144k data, 130k bss, 168k init)
27:03:24/14:26:31|Kernel virtual memory layout:
27:03:24/14:26:31|  * 0xffffe000..0xfffff000  : fixmap
27:03:24/14:26:31|  * 0xfde00000..0xfe000000  : consistent mem
27:03:24/14:26:31|  * 0xfde00000..0xfde00000  : early ioremap
27:03:24/14:26:31|  * 0xd1000000..0xfde00000  : vmalloc & ioremap
27:03:24/14:26:31|NR_IRQS:512
27:03:24/14:26:31|clocksource: timebase mult[a00000] shift[22] registered
27:03:24/14:26:31|Console: colour dummy device 80x25
27:03:24/14:26:31|Mount-cache hash table entries: 512
27:03:24/14:26:31|NET: Registered protocol family 16
27:03:24/14:26:31|PCI: Probing PCI hardware
27:03:24/14:26:31|bio: create slab <bio-0> at 0
27:03:24/14:26:31|NET: Registered protocol family 2
27:03:24/14:26:31|IP route cache hash table entries: 1024 (order: 0, 4096 bytes)
27:03:24/14:26:31|TCP established hash table entries: 4096 (order: 3, 32768 bytes)
27:03:24/14:26:32|TCP bind hash table entries: 4096 (order: 2, 16384 bytes)
27:03:24/14:26:32|TCP: Hash tables configured (established 4096 bind 4096)
27:03:24/14:26:32|TCP reno registered
27:03:24/14:26:32|NET: Registered protocol family 1
27:03:24/14:26:32|ROMFS MTD (C) 2007 Red Hat, Inc.
27:03:24/14:26:32|msgmni has been set to 214
27:03:24/14:26:32|io scheduler noop registered
27:03:24/14:26:32|io scheduler anticipatory registered
27:03:24/14:26:32|io scheduler deadline registered
27:03:24/14:26:32|io scheduler cfq registered (default)
27:03:24/14:26:32|Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
27:03:24/14:26:32|83e00000.serial: ttyS0 at MMIO 0x83e01003 (irq = 16) is a 16550
27:03:24/14:26:32|console [ttyS0] enabled
27:03:24/14:26:32|brd: module loaded
27:03:24/14:26:32|loop: module loaded
27:03:24/14:26:32|Device Tree Probing 'ethernet'
27:03:24/14:26:32|xilinx_lltemac 81c00000.ethernet: MAC address is now d8:80:39:41:ee:71
27:03:24/14:26:32|xilinx_lltemac 81c00000.ethernet: XLlTemac: using DMA mode.
27:03:24/14:26:32|XLlTemac: DCR address: 0x80
27:03:24/14:26:32|XLlTemac: buffer descriptor size: 32768 (0x8000)
27:03:24/14:26:32|XLlTemac: Allocating DMA descriptors with kmalloc
27:03:24/14:26:32|XLlTemac: (buffer_descriptor_init) phy: 0x6938000, virt: 0xc6938000, size: 0x8000
27:03:24/14:26:33|XTemac: PHY detected at address 7.
27:03:24/14:26:33|xilinx_lltemac 81c00000.ethernet: eth0: Xilinx TEMAC at 0x81C00000 mapped to 0xD1024000, irq=17
27:03:24/14:26:33|fc000000.flash: Found 1 x16 devices at 0x0 in 16-bit bank
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33| Intel/Sharp Extended Query Table at 0x010A
27:03:24/14:26:33|Using buffer write method
27:03:24/14:26:33|cfi_cmdset_0001: Erase suspend on write enabled
27:03:24/14:26:33|cmdlinepart partition parsing not available
27:03:24/14:26:33|RedBoot partition parsing not available
27:03:24/14:26:33|Creating 5 MTD partitions on "fc000000.flash":
27:03:24/14:26:33|0x000000000000-0x000000500000 : "golden_firmware"
27:03:24/14:26:33|0x000000500000-0x000000800000 : "golden_kernel"
... 1850 more lines ...
  556   Tue Apr 2 18:37:21 2024 TDS505 ADC offsets
S505 ADC offsets using pulser walkthrough data from data file R1

ch = channel + ( module * 64 ) + ( range * 2048 )

adc_data( ch ) = INT( RSHIFT( ABS( adc_data( ch ) - 32768 ), 3 ) - offset( ch ) + 0.5 )
Attachment 1: S505_calibration_data.txt
  $variables
  offset(   0) =    28.32
  offset(   1) =    17.71
  offset(   2) =    -9.75
  offset(   3) =    -5.24
  offset(   4) =   -10.84
  offset(   5) =     0.13
  offset(   6) =    28.59
  offset(   7) =    28.54
  offset(   8) =     0.83
  offset(   9) =   -12.15
  offset(  10) =    29.36
  offset(  11) =   -50.47
  offset(  12) =    10.03
  offset(  13) =   -26.90
  offset(  14) =    12.49
  offset(  15) =    10.57
  offset(  16) =     9.29
  offset(  17) =     1.09
  offset(  18) =    21.86
  offset(  19) =    -0.32
  offset(  20) =    22.59
  offset(  21) = -9999.99
  offset(  22) =   -20.22
  offset(  23) =     6.61
  offset(  24) =    -8.80
  offset(  25) =    -1.15
  offset(  26) =    13.08
  offset(  27) =    -9.03
  offset(  28) =    -2.67
  offset(  29) =    -9.42
  offset(  30) =     8.07
  offset(  31) =    19.01
  offset(  32) =     6.86
  offset(  33) =    15.16
  offset(  34) =    -3.89
  offset(  35) =   -18.80
  offset(  36) =    17.79
  offset(  37) =    16.45
  offset(  38) =    -0.74
  offset(  39) =     0.69
  offset(  40) =   -14.59
  offset(  41) =   -10.02
  offset(  42) =    11.38
  offset(  43) =    -2.57
  offset(  44) =    15.48
  offset(  45) =    14.57
  offset(  46) =     2.95
  offset(  47) =    30.70
  offset(  48) =   -12.55
  offset(  49) =   -15.61
  offset(  50) =    -6.61
  offset(  51) =    -7.10
  offset(  52) =   -33.20
  offset(  53) =    21.42
  offset(  54) =    -4.07
  offset(  55) =   -18.23
  offset(  56) =     8.83
  offset(  57) =   -22.74
  offset(  58) =    11.47
  offset(  59) =    10.67
  offset(  60) =   -28.65
  offset(  61) =    15.29
  offset(  62) =     5.76
  offset(  63) =   -11.57
  offset(  64) =     1.95
  offset(  65) = -9999.99
  offset(  66) =    22.52
  offset(  67) =    42.10
  offset(  68) =    -0.20
  offset(  69) =     9.78
  offset(  70) =   -11.48
  offset(  71) =    -0.03
  offset(  72) =   -12.50
  offset(  73) =    25.08
  offset(  74) =    12.77
  offset(  75) =    -2.76
  offset(  76) =     7.90
  offset(  77) =     9.85
  offset(  78) =     5.20
  offset(  79) =   -13.91
  offset(  80) =    18.82
  offset(  81) =    -8.25
  offset(  82) =    -8.40
  offset(  83) =    13.67
  offset(  84) =   -10.37
  offset(  85) =    28.32
  offset(  86) =    11.42
  offset(  87) =     2.74
  offset(  88) =   -24.88
  offset(  89) =    11.28
  offset(  90) =   -26.69
  offset(  91) =     0.91
  offset(  92) =     8.04
  offset(  93) =     7.64
  offset(  94) =    10.10
  offset(  95) =   -20.71
  offset(  96) =     6.72
  offset(  97) =   -12.63
  offset(  98) =    10.27
  offset(  99) =    16.05
  offset( 100) =     8.96
  offset( 101) =    33.57
  offset( 102) =    28.81
  offset( 103) =    23.49
  offset( 104) =     7.96
  offset( 105) =     6.07
  offset( 106) =    21.57
  offset( 107) =    13.06
  offset( 108) =     0.82
  offset( 109) =    -3.55
  offset( 110) =    -5.21
  offset( 111) =    11.48
  offset( 112) =    16.21
  offset( 113) =    -0.10
  offset( 114) =     3.87
  offset( 115) =    19.76
  offset( 116) =    -9.11
  offset( 117) =    24.64
  offset( 118) =     1.82
  offset( 119) = -9999.99
  offset( 120) =    22.80
  offset( 121) =    -7.37
  offset( 122) =   -18.32
  offset( 123) =   -24.74
  offset( 124) =    -4.71
  offset( 125) =    19.64
  offset( 126) =    53.61
  offset( 127) =     6.57
  offset( 128) =     3.21
  offset( 129) =     7.20
  offset( 130) =     5.55
  offset( 131) =    -3.40
  offset( 132) =   -16.40
  offset( 133) =    -1.71
  offset( 134) =   -14.85
  offset( 135) =   -29.53
  offset( 136) =     0.68
  offset( 137) =    -0.41
  offset( 138) =    17.59
  offset( 139) =   -28.92
  offset( 140) =    -8.79
  offset( 141) =    11.69
  offset( 142) =    -5.80
  offset( 143) =    -8.21
  offset( 144) =    13.90
  offset( 145) =    11.27
  offset( 146) =   -17.02
  offset( 147) =    -8.19
  offset( 148) =     6.14
  offset( 149) =   -13.13
  offset( 150) =    15.41
  offset( 151) =     0.12
  offset( 152) =    -5.02
  offset( 153) =     4.25
  offset( 154) =     3.84
  offset( 155) =    13.34
  offset( 156) =    16.79
  offset( 157) =   -36.21
  offset( 158) =    -2.18
  offset( 159) =    12.94
  offset( 160) =     2.05
  offset( 161) =   -17.31
  offset( 162) =    18.38
  offset( 163) =    -7.17
  offset( 164) =     6.59
  offset( 165) =   -13.36
  offset( 166) =   -12.11
  offset( 167) =    -3.91
  offset( 168) =    10.97
  offset( 169) =   -25.72
  offset( 170) =   -11.53
  offset( 171) =     1.94
  offset( 172) =   -12.76
  offset( 173) =    18.55
  offset( 174) =    13.49
  offset( 175) =    -9.45
  offset( 176) =   -10.19
  offset( 177) =    18.69
  offset( 178) =    24.49
  offset( 179) =    30.44
  offset( 180) =    41.95
  offset( 181) =     1.55
  offset( 182) =    20.88
  offset( 183) =     4.76
  offset( 184) =    15.80
  offset( 185) =    12.37
  offset( 186) =   -13.03
  offset( 187) =    15.64
  offset( 188) =    -8.44
  offset( 189) =    -3.02
  offset( 190) =    10.57
  offset( 191) =     6.88
  offset( 192) =   -17.81
  offset( 193) =    -5.77
  offset( 194) =   -18.29
  offset( 195) =    17.62
  offset( 196) =   -30.66
  offset( 197) =    -7.37
  offset( 198) =    36.32
  offset( 199) =     3.42
  offset( 200) =   -21.92
  offset( 201) =    15.88
  offset( 202) =   -17.33
  offset( 203) =    -2.71
  offset( 204) =   -21.06
  offset( 205) =    -4.53
  offset( 206) =    -1.59
  offset( 207) =    -9.54
  offset( 208) =    -0.04
  offset( 209) =    23.57
  offset( 210) =    13.83
  offset( 211) =    -9.28
  offset( 212) =    12.42
  offset( 213) =    23.85
  offset( 214) =     7.90
  offset( 215) =    -7.29
  offset( 216) =    26.18
  offset( 217) =    33.05
  offset( 218) =    32.10
  offset( 219) =    -8.10
  offset( 220) =    16.16
  offset( 221) =     3.94
  offset( 222) =   -18.22
  offset( 223) =   -16.84
  offset( 224) =     5.79
  offset( 225) =    21.07
  offset( 226) =    45.18
  offset( 227) =   -13.85
  offset( 228) =     6.76
  offset( 229) =    13.59
  offset( 230) =     8.28
  offset( 231) =    -9.32
  offset( 232) =    21.30
  offset( 233) =     7.02
  offset( 234) =    24.11
  offset( 235) =    16.26
  offset( 236) =    11.46
  offset( 237) =     2.16
  offset( 238) =     7.19
  offset( 239) =    18.45
  offset( 240) =     6.65
  offset( 241) =     6.56
  offset( 242) =   -33.59
  offset( 243) =    14.71
  offset( 244) =    21.28
  offset( 245) =    -0.73
  offset( 246) =   -10.29
  offset( 247) =    28.50
  offset( 248) =   -11.37
  offset( 249) =   -18.92
  offset( 250) =    23.12
  offset( 251) =     8.87
  offset( 252) =     9.64
  offset( 253) =    16.43
  offset( 254) =    -2.70
  offset( 255) =    26.14
  offset( 256) =    -4.99
  offset( 257) =   -10.88
  offset( 258) =    27.41
  offset( 259) =    -3.48
  offset( 260) =   -11.18
  offset( 261) =    12.60
  offset( 262) =    22.19
  offset( 263) =   -20.16
  offset( 264) =    20.32
  offset( 265) =     9.66
  offset( 266) =    13.30
  offset( 267) =   -12.64
  offset( 268) =    53.69
  offset( 269) =    23.15
  offset( 270) =    43.60
  offset( 271) =    48.58
  offset( 272) =   -13.12
  offset( 273) =   -12.57
  offset( 274) =    35.19
  offset( 275) =    14.84
  offset( 276) =    33.05
  offset( 277) =    13.73
  offset( 278) =    45.45
  offset( 279) =    55.49
  offset( 280) =    48.13
  offset( 281) =     3.86
  offset( 282) =    28.44
  offset( 283) =    23.73
  offset( 284) =    11.53
  offset( 285) =   -19.46
  offset( 286) =    10.81
  offset( 287) =    17.23
  offset( 288) =   -13.85
  offset( 289) =    30.17
  offset( 290) =    10.70
  offset( 291) =    28.06
  offset( 292) =   -14.35
  offset( 293) =    23.46
  offset( 294) =    15.16
  offset( 295) =   -25.15
  offset( 296) =   -15.03
  offset( 297) =   -24.74
  offset( 298) =    -5.94
... 215 more lines ...
  555   Tue Apr 2 12:36:25 2024 JB, CC, NHInstalling FEE64s of DSSSD2 cont.

Some additional checks

- check 'top hat' electrical isolators are correctly installed at each of the 4x mounting points of the AIDA snout assembly

 

- check snout is electrically isolated with respect to AIDA support assembly/stand, bPlas PCBs/cabling/ground/drain wires and BB7

 

- check LK1 installed aida02 or aida04 *and* aida06 or aida08 ( I assume these are the n+n Ohmic FEE64s? )

 

- check LK3 installed bottom, middle p+n junction FEE64s ( i.e. LK3 installed on 2 of 16 FEE64 adaptor PCBs )

 

- check FEE64 power cables are paired correctly, e.g. aida02 & aida04, aida01 & aida03 etc. Remember that the numbering of the power cables at the FEE64 PSUs may no longer correspond to which FEE64 is actually connected to that cable

 

- check test  and test - cable daisy chains are removed

 

- check ground cabling attachment to Lemo 00.250 connectors is tight - they have tendency to loosen with handling

 

  553   Thu Mar 28 09:18:53 2024 TDInstalling FEE64s of DSSSD2

Some additional checks

- check 'top hat' electrical isolators are correctly installed at each of the 4x mounting points of the AIDA snout assembly 

- check snout is electrically isolated with respect to AIDA support assembly/stand, bPlas PCBs/cabling/ground/drain wires and BB7

- check LK1 installed aida02 or aida04 *and* aida06 or aida08 ( I assume these are the n+n Ohmic FEE64s? )

- check LK3 installed bottom, middle p+n junction FEE64s ( i.e. LK3 installed on 2 of 16 FEE64 adaptor PCBs )

- check FEE64 power cables are paired correctly, e.g. aida02 & aida04, aida01 & aida03 etc. Remember that the numbering of the power cables at the FEE64 PSUs may no longer correspond to which FEE64 is actually connected to that cable

- check test  and test - cable daisy chains are removed

- check ground cabling attachment to Lemo 00.250 connectors is tight - they have tendency to loosen with handling

Quote:

Mounted on frame:

DSSD 1 (Upstream) :     3208-2/3208-5/3208-8
DSSD 2 (Downstream): 3208-3/3208-21/3208-22

Current mapping has been redone for better management.

AIDA - FEE Mapping
DSSSD 1 DSSSD2
FEE MAC FEE MAC
aida01 41:ba:8a aida06 41:05:15
aida02 41:f6:b7 aida07 41:f6:5a
aida03 41:d8:21 aida08 41:d7:cd
aida04 41:a0:71 aida10 41:d0:0E
aida05 41:cf:ac aida13 41:d8:2b
aida09 41:ee:10 aida14 42:0d:15
aida15 41:b4:0c aida11 41:EE:0f
aida12 41:ba:89 aida16 41:f6:ed

 

Going to try optimising noise now.

 

DHCP updated

new ASIC settings: 2024Mar27-11.25.32 - 16 FEEs (2,4,6,8 n+n, rest p+n)

New layouts: /home/npg/LayOut/GSI_Triple_S100

New layout.txt

 

Firmware of aida11 updated from 0xea40704 to 0x3350706

 

Temps GOOD fig 5

Rates fig 6, 7

Check adapter alignment aida14 and aida16

 

bPlas left/right cables are not insulated and shorting to the snout

Logs on nnpi1 archived and deleted, start again
All 16 FEEs are showing USB logging connectivity and can be monitored with Pi_Monitor

From waveforms aida08 and aida16 are quite unhappy. The rest don't seem too bad. DSSSD 1 is much quieter than it was before!

White Rabbit Analysis: aida02 has lots of WR error counter, HDMI reseat needed
aida09-12 have no WR timestamp, the cable to the MACB is bad or the MACB is bad.
Not needed to fix right now (for noise testing)

Turn off bPlas
We see the noise drop a lot

 

  552   Wed Mar 27 14:22:35 2024 JB, NHInstalling FEE64s of DSSSD2

Mounted on frame:

DSSD 1 (Upstream) :     3208-2/3208-5/3208-8
DSSD 2 (Downstream): 3208-3/3208-21/3208-22

Current mapping has been redone for better management.

AIDA - FEE Mapping
DSSSD 1 DSSSD2
FEE MAC FEE MAC
aida01 41:ba:8a aida06 41:05:15
aida02 41:f6:b7 aida07 41:f6:5a
aida03 41:d8:21 aida08 41:d7:cd
aida04 41:a0:71 aida10 41:d0:0E
aida05 41:cf:ac aida13 41:d8:2b
aida09 41:ee:10 aida14 42:0d:15
aida15 41:b4:0c aida11 41:EE:0f
aida12 41:ba:89 aida16 41:f6:ed

 

Going to try optimising noise now.

 

DHCP updated

new ASIC settings: 2024Mar27-11.25.32 - 16 FEEs (2,4,6,8 n+n, rest p+n)

New layouts: /home/npg/LayOut/GSI_Triple_S100

New layout.txt

 

Firmware of aida11 updated from 0xea40704 to 0x3350706

 

Temps GOOD fig 5

Rates fig 6, 7

Check adapter alignment aida14 and aida16

 

bPlas left/right cables are not insulated and shorting to the snout

Logs on nnpi1 archived and deleted, start again
All 16 FEEs are showing USB logging connectivity and can be monitored with Pi_Monitor

From waveforms aida08 and aida16 are quite unhappy. The rest don't seem too bad. DSSSD 1 is much quieter than it was before!

White Rabbit Analysis: aida02 has lots of WR error counter, HDMI reseat needed
aida09-12 have no WR timestamp, the cable to the MACB is bad or the MACB is bad.
Not needed to fix right now (for noise testing)

Turn off bPlas
We see the noise drop a lot

Attachment 1: Screenshot_2024-03-15_at_14-41-48_Spectrum_Browser_aidas-gsi.png
Screenshot_2024-03-15_at_14-41-48_Spectrum_Browser_aidas-gsi.png
Attachment 2: Screenshot_2024-03-15_at_14-44-59_Statistics_aidas-gsi.png
Screenshot_2024-03-15_at_14-44-59_Statistics_aidas-gsi.png
Attachment 3: Screenshot_2024-03-15_at_14-55-07_System_wide_Checks_aidas-gsi.png
Screenshot_2024-03-15_at_14-55-07_System_wide_Checks_aidas-gsi.png
Attachment 4: Screenshot_2024-03-15_at_14-57-19_Temperature_and_status_scan_aidas-gsi.png
Screenshot_2024-03-15_at_14-57-19_Temperature_and_status_scan_aidas-gsi.png
Attachment 5: Screenshot_from_2024-03-27_14-51-12.png
Screenshot_from_2024-03-27_14-51-12.png
Attachment 6: Screenshot_from_2024-03-27_14-53-05.png
Screenshot_from_2024-03-27_14-53-05.png
Attachment 7: Screenshot_from_2024-03-27_14-53-56.png
Screenshot_from_2024-03-27_14-53-56.png
Attachment 8: Screenshot_from_2024-03-27_15-31-10.png
Screenshot_from_2024-03-27_15-31-10.png
Attachment 9: Screenshot_from_2024-03-27_15-35-38.png
Screenshot_from_2024-03-27_15-35-38.png
Attachment 10: Screenshot_from_2024-03-27_15-36-13.png
Screenshot_from_2024-03-27_15-36-13.png
Attachment 11: Screenshot_from_2024-03-27_16-14-44.png
Screenshot_from_2024-03-27_16-14-44.png
Attachment 12: Screenshot_from_2024-03-27_16-15-00.png
Screenshot_from_2024-03-27_16-15-00.png
Attachment 13: Screenshot_from_2024-03-27_16-15-27.png
Screenshot_from_2024-03-27_16-15-27.png
Attachment 14: Screenshot_from_2024-03-27_16-15-56.png
Screenshot_from_2024-03-27_16-15-56.png
  551   Tue Mar 26 18:56:03 2024 TDUSB-controlled ac mains relay interlock box - wiring
Sensor ( 4 pins )

Red  +24V
Blue   0V
Yellow } contact 
Green  } closure in ( short these two wires together for logic 1 )

N.B. yellow and green wires of unused inputs must be connected together


Output ( 3 pins ) to USB-controlled ac mains relay

Red    NC ( normally closed - with respect to COM with no power applied, single pole double throw relay out )
Blue   NO ( normally open - with respect to COM with no power applied, single pole double throw relay out )
Green COM
  550   Tue Mar 26 10:32:05 2024 NH, JBTue 26 March
Taken 4 FEE64s from CRYRING (the 4 easiest to access)
  41:d8:2b
  41:f6:5a
  41:ee:71
  41:d0:0e

In addition a FEE without rails marked only 20 was found in my old office for 5
- This probably came in a shipment to/for repairs

DSSSD#1 unbiased

DESPEC Platform moved out of beam and gamma platform moved from AIDA
JB works on grounding the original 8 DSSSD#1 FEEs

Connected grounding from ribbon cable drain to adapter PCB
Ground loop connected

AIDA rates unchanged bad still fig 1
Waves fig 2 (p+n 6000-9000)
Waves fig 3 (n+n 8000-10000)
Statistics fig 4
Attachment 1: Screenshot_from_2024-03-26_14-39-35.png
Screenshot_from_2024-03-26_14-39-35.png
Attachment 2: Screenshot_from_2024-03-26_14-40-05.png
Screenshot_from_2024-03-26_14-40-05.png
Attachment 3: Screenshot_from_2024-03-26_14-40-30.png
Screenshot_from_2024-03-26_14-40-30.png
Attachment 4: Screenshot_from_2024-03-26_14-41-56.png
Screenshot_from_2024-03-26_14-41-56.png
Attachment 5: 20240326_144539.jpg
20240326_144539.jpg
Attachment 6: 20240326_144638.jpg
20240326_144638.jpg
Attachment 7: 20240326_144528.jpg
20240326_144528.jpg
Attachment 8: 20240326_144659.jpg
20240326_144659.jpg
Attachment 9: 20240326_144627.jpg
20240326_144627.jpg
  549   Fri Mar 22 08:31:39 2024 TDFriday 22 March
09.30 Systems check

      CAEN N1419ET only channel #0 ON, all other channels off
      DSSSD bias -120V leakage current -5.500uA - attachment 1
      Leakage current of 5.5uA corresponds to c. 3nA/cm2/100um indicating high quality device ( assuming ambient temperature c. 21 deg C )

      FEE64 temperatures OK *except* aida02 ASIC temperature - attachment 2
      N.B. aida02 ASIC temperature sensor faulty - reading > 500 deg C - probably poor connection FEE64-ASIC mezzanine

      All system wide checks OK *except*
       aida04 WR decoder status 0x10 - attachment 3

      WR timestamps OK - attachment 4

09.42 NH reports "195au implanting in aida, Ca 100 per spill"

      ASIC settings
       LEC/MEC slow comparator 0x64, LEC/MEC fast comparator 0xff, HEC comarator 0x2
       aida02 and aida04 negative input polarity ( n+n Ohmic strips ), all other FEE64s positive input polarity

09.46 all histograms and stats zero'd

      ADC, DISC, PAUSE, RESUME & Correlation Scaler data items stats - attachments 5-9

      per FEE64 1.8.W spectra - 20us FSR - attachments 10-11
       aida08 noise significantly lower than all other FEE64s

      per FEE64 1.8.H spectra - attachments 12-13
       data suggests 195Au ions are focussed on central Si wafer, ion energies to c. 5GeV, no evidence lower A/Z ( fission ) ions with lower energy loss

      per FEE64 1.8.L spectra - attachments 14-15

      per FEE64 Rate  Stat spectra - attachments 16-19

      Merger, TapeServer - attachments 20-21
       Merger idle !?
       Tape Server no storage mode but forwarding data at c. 1Mb/s
       data file R31

13.30 NH reports "beam over"

      DSSSD bias -120V leakage current -6.500uA - attachment 22

      FEE64 temperatures OK *except* aida02 ASIC temperature - attachment 23
      N.B. aida02 ASIC temperature sensor faulty - reading > 500 deg C - probably poor connection FEE64-ASIC mezzanine

      All system wide checks OK *except*
       aida05 & aida07 FPGA timestamp errors - attachment 24
       aida04 WR decoder status 0x10 - attachment 25

      WR timestamps OK - attachment 26

      ADC data items stats - attachments 27

      per FEE64 Rate spectra - attachments 28-29

      per FEE64 1.8.L spectra - attachments 30-31

      per FEE64 1.8.H spectra - attachments 32-33

      per FEE64 1.8.W spectra - 20us FSR - attachments 34-35

      Merger, TapeServer - attachments 36-37
       Merger idle !?
       Tape Server no storage mode but forwarding data at c. 1Mb/s
       data file R31

20:30 AIDA Powered down

      DSSSD remains biased at -120V, monitor the current over the weekend (Grafana)

      Merger still showing idle... "no data to storage" makes xfer Links disappear?

      Tape server stopped

11.20 Saturday 23 March

      Grafana DSSSD bias/leakage current monitor screenshot - attachment 38

      https://despec-vm-01.gsi.de/grafana/d/6SAfgl0Mz/aida?orgId=1&refresh=1m&from=now-2d&to=now

      DSSSD#1 leakage current recovered to c. pre-beam values

08.05 Monday 25 March

      Grafana DSSSD bias/leakage current monitor screenshot - attachment 39
Attachment 1: Screenshot_from_2024-03-22_09-32-58.png
Screenshot_from_2024-03-22_09-32-58.png
Attachment 2: Screenshot_from_2024-03-22_09-34-54.png
Screenshot_from_2024-03-22_09-34-54.png
Attachment 3: Screenshot_from_2024-03-22_09-39-03.png
Screenshot_from_2024-03-22_09-39-03.png
Attachment 4: Screenshot_from_2024-03-22_09-40-10.png
Screenshot_from_2024-03-22_09-40-10.png
Attachment 5: Screenshot_from_2024-03-22_09-47-26.png
Screenshot_from_2024-03-22_09-47-26.png
Attachment 6: Screenshot_from_2024-03-22_09-49-33.png
Screenshot_from_2024-03-22_09-49-33.png
Attachment 7: Screenshot_from_2024-03-22_09-49-00.png
Screenshot_from_2024-03-22_09-49-00.png
Attachment 8: Screenshot_from_2024-03-22_09-48-25.png
Screenshot_from_2024-03-22_09-48-25.png
Attachment 9: Screenshot_from_2024-03-22_09-47-55.png
Screenshot_from_2024-03-22_09-47-55.png
Attachment 10: Screenshot_from_2024-03-22_10-00-47.png
Screenshot_from_2024-03-22_10-00-47.png
Attachment 11: Screenshot_from_2024-03-22_10-00-01.png
Screenshot_from_2024-03-22_10-00-01.png
Attachment 12: Screenshot_from_2024-03-22_09-58-56.png
Screenshot_from_2024-03-22_09-58-56.png
Attachment 13: Screenshot_from_2024-03-22_09-57-50.png
Screenshot_from_2024-03-22_09-57-50.png
Attachment 14: Screenshot_from_2024-03-22_09-56-45.png
Screenshot_from_2024-03-22_09-56-45.png
Attachment 15: Screenshot_from_2024-03-22_09-55-52.png
Screenshot_from_2024-03-22_09-55-52.png
Attachment 16: Screenshot_from_2024-03-22_09-54-18.png
Screenshot_from_2024-03-22_09-54-18.png
Attachment 17: Screenshot_from_2024-03-22_09-52-45.png
Screenshot_from_2024-03-22_09-52-45.png
Attachment 18: Screenshot_from_2024-03-22_09-52-13.png
Screenshot_from_2024-03-22_09-52-13.png
Attachment 19: Screenshot_from_2024-03-22_09-51-47.png
Screenshot_from_2024-03-22_09-51-47.png
Attachment 20: Screenshot_from_2024-03-22_10-04-22.png
Screenshot_from_2024-03-22_10-04-22.png
Attachment 21: Screenshot_from_2024-03-22_10-03-53.png
Screenshot_from_2024-03-22_10-03-53.png
Attachment 22: Screenshot_from_2024-03-22_14-29-18.png
Screenshot_from_2024-03-22_14-29-18.png
Attachment 23: Screenshot_from_2024-03-22_14-30-00.png
Screenshot_from_2024-03-22_14-30-00.png
Attachment 24: Screenshot_from_2024-03-22_14-31-19.png
Screenshot_from_2024-03-22_14-31-19.png
Attachment 25: Screenshot_from_2024-03-22_14-31-01.png
Screenshot_from_2024-03-22_14-31-01.png
Attachment 26: Screenshot_from_2024-03-22_14-31-57.png
Screenshot_from_2024-03-22_14-31-57.png
Attachment 27: Screenshot_from_2024-03-22_14-32-35.png
Screenshot_from_2024-03-22_14-32-35.png
Attachment 28: Screenshot_from_2024-03-22_14-40-04.png
Screenshot_from_2024-03-22_14-40-04.png
Attachment 29: Screenshot_from_2024-03-22_14-39-30.png
Screenshot_from_2024-03-22_14-39-30.png
Attachment 30: Screenshot_from_2024-03-22_14-38-22.png
Screenshot_from_2024-03-22_14-38-22.png
Attachment 31: Screenshot_from_2024-03-22_14-37-19.png
Screenshot_from_2024-03-22_14-37-19.png
Attachment 32: Screenshot_from_2024-03-22_14-36-28.png
Screenshot_from_2024-03-22_14-36-28.png
Attachment 33: Screenshot_from_2024-03-22_14-35-23.png
Screenshot_from_2024-03-22_14-35-23.png
Attachment 34: Screenshot_from_2024-03-22_14-34-38.png
Screenshot_from_2024-03-22_14-34-38.png
Attachment 35: Screenshot_from_2024-03-22_14-33-55.png
Screenshot_from_2024-03-22_14-33-55.png
Attachment 36: Screenshot_from_2024-03-22_14-41-21.png
Screenshot_from_2024-03-22_14-41-21.png
Attachment 37: Screenshot_from_2024-03-22_14-40-57.png
Screenshot_from_2024-03-22_14-40-57.png
Attachment 38: Capture_2.PNG
Capture_2.PNG
Attachment 39: Capture_3.PNG
Capture_3.PNG
  547   Fri Mar 22 08:29:55 2024 TDAnydesk restarted remotely
Anydesk restarted remotely per https://elog.ph.ed.ac.uk/CARME/489

Anydesk address now restored to 832827869
  546   Fri Mar 22 08:22:43 2024 NH, AM, MP, CCThu Mar 21
> Fig 1-3: Noise situation at real thresholds (0xa p+n, 0xf n+n)
> 
> Fig 4-6: After AM and MP turn off Mesytec Preamps
> 
> No difference

I think there is a difference in the 1.8.W spectra - the 100kHz ripple is reduced significantly when the Mesytec preamps are switched off.
  545   Fri Mar 22 07:34:54 2024 NH, JBAu Beam
  544   Thu Mar 21 15:41:49 2024 NH, AM, MP, CCThu Mar 21
Fig 1-3: Noise situation at real thresholds (0xa p+n, 0xf n+n)

Fig 4-6: After AM and MP turn off Mesytec Preamps

No difference
Attachment 1: Screenshot_from_2024-03-21_16-17-59.png
Screenshot_from_2024-03-21_16-17-59.png
Attachment 2: Screenshot_from_2024-03-21_16-18-25.png
Screenshot_from_2024-03-21_16-18-25.png
Attachment 3: Screenshot_from_2024-03-21_16-18-42.png
Screenshot_from_2024-03-21_16-18-42.png
Attachment 4: Screenshot_from_2024-03-21_16-39-12.png
Screenshot_from_2024-03-21_16-39-12.png
Attachment 5: Screenshot_from_2024-03-21_16-39-26.png
Screenshot_from_2024-03-21_16-39-26.png
Attachment 6: Screenshot_from_2024-03-21_16-39-39.png
Screenshot_from_2024-03-21_16-39-39.png
  543   Wed Mar 20 17:02:53 2024 NH/dev/sdd
The following messages are in the system log very often:

Mar 20 18:00:56 aidas-gsi smartd[1076]: Device: /dev/sdd [SAT], 2224 Currently unreadable (pending) sectors
Mar 20 18:00:56 aidas-gsi smartd[1076]: Device: /dev/sdd [SAT], 257 Offline uncorrectable sectors

This (to me) suggests /dev/sdd may be failing. It should be backed up and later replaced (it is not used at the moment for /TapeData, older disk)
  542   Wed Mar 20 12:22:27 2024 NHWed Mar 20

Turn on AIDA for Dry Run demonstrations and so on

All system wide checks, temp, bias OK

Noise situation is dreadful (but has not been optimised). Deterioriation since first mounted, suspect cabling issues with bPlast and BB7.

 Note thresholds at 0x32 (!!!) to not brutalise the DAQs during testing

 aida08 seems OK

Server running to MBS totally fine

18:00

Carole grounded some of the Bplast and this reduced the rates in AIDA, although they are a bit fluctuatey. Due to position constraints she couldn't ground it all
Also AIDA ribbon cables are not grounded yet
The indication is these fixes should make a lot of difference to the situation

AIDA is now powered off for the end of day

Attachment 1: Screenshot_from_2024-03-20_13-20-43.png
Screenshot_from_2024-03-20_13-20-43.png
Attachment 2: Screenshot_from_2024-03-20_13-20-54.png
Screenshot_from_2024-03-20_13-20-54.png
Attachment 3: Screenshot_from_2024-03-20_13-21-18.png
Screenshot_from_2024-03-20_13-21-18.png
Attachment 4: Screenshot_from_2024-03-20_13-21-38.png
Screenshot_from_2024-03-20_13-21-38.png
Attachment 5: Screenshot_from_2024-03-20_13-21-57.png
Screenshot_from_2024-03-20_13-21-57.png
Attachment 6: Screenshot_from_2024-03-20_13-22-12.png
Screenshot_from_2024-03-20_13-22-12.png
Attachment 7: Screenshot_from_2024-03-20_13-24-21.png
Screenshot_from_2024-03-20_13-24-21.png
Attachment 8: Screenshot_from_2024-03-20_13-25-02.png
Screenshot_from_2024-03-20_13-25-02.png
Attachment 9: Screenshot_from_2024-03-20_13-25-13.png
Screenshot_from_2024-03-20_13-25-13.png
  541   Tue Mar 19 10:17:30 2024 NHDry Run 2024 - 19th March 24
AIDA has 8 FEEs and 1 DSSSD 

Aida08 (HDMI#12) had no WR again, I moved it to a different MACB and now it gets WR
The MACB (currently with jsut HDMI#10) seems issues, check/replace the upstream HDMI and thent eh MACB (after dry run!)
 
DSSSD#1 biased to -120 V, leakage current 5.6 uA (fig 1)
 (also on Grafana)

Temps OK fig2

System Checks (fig3-5)
 Clocks OK
 aida07 fails calibration, others OK
 WR OK (aida04 0x10, seems OK)
 FPGA OK

Did "Synchronise ASIC clocks" to align ASIC clocks

(Notes for scalers: SC41L HDMI 5, SCI41R HDMI 9)

18:12 FRS is taking beam, AIDA is powered off and unbiased
Attachment 1: Screenshot_from_2024-03-19_13-44-34.png
Screenshot_from_2024-03-19_13-44-34.png
Attachment 2: Screenshot_from_2024-03-19_13-44-22.png
Screenshot_from_2024-03-19_13-44-22.png
Attachment 3: Screenshot_from_2024-03-19_13-44-04.png
Screenshot_from_2024-03-19_13-44-04.png
Attachment 4: Screenshot_from_2024-03-19_13-43-44.png
Screenshot_from_2024-03-19_13-43-44.png
Attachment 5: Screenshot_from_2024-03-19_13-43-24.png
Screenshot_from_2024-03-19_13-43-24.png
  540   Mon Mar 18 18:04:43 2024 NHPreparation for pre-s100 dry run (and test beam???)
In preparation for the dry run the following *temporary* changes to the FEE numbering have been prepared
These should be reverted after the dry run to ensure cable->fee agreement again

AIDA09 => AIDA06
AIDA11 => AIDA07
AIDA12 => AIDA08

This will allow the merger to run with 8 FEEs for 1 DSSD

dhcpd.conf updated

The 2023Oct19-13.46.30 should work with this numbering (check tomorrow)

As should layout GSI_triple_test_renumber 

AFTER dry run:

Revert DHCP and prepare for full 16 FEEs 

Make new ASIC settings key for 16 FEEs and prepare the aidaXX folders

Prepare a new Layout.mlf set
  539   Fri Mar 15 16:29:57 2024 NHLeakage currents
The behaviour of the DSSSD leakage current at low voltages and during biases is unusual and varies depending on how the adapter boards are connected
To summarise the behaviour I have observed

Minimum bias configuration:
 4 adapter boards, one n+n (LK1), three p+n (-ve bias), ground from n+n to one p+n
 Voltage (and leakage current) unstable at low voltages, seems to settle at around -60 V
 Drops can include 0 leakage current

Full adapter configuration:
 8 adapter boards, ground ring complete
 Same as minimum, but the drops seem to be much smaller (and not to 0 leakage current)
 -60V again seems to be the turnover to a stable leakage current 

In both cases the leakage current during ramping appears basically the same as when settled

Full into FEEs
 8 adapter boards, fully connected to 8 FEEs
 The leakage current is *much* higher during ramp,up to 17 uA near the end. No fluctuations
 Once ramping has finished the current quickly drops back down and settles at the nominal leakage current
 This has been observed in October/December too, it is not new (https://elog.ph.ed.ac.uk/AIDA/910)
 During power up of the FEEs the current sometimes drops briefly (when the ASICs get programmed, I believe)


I think it is related to the ground (more or less current flowing through the HV supply instead of alternate paths?)
It should be kept in mind when testing new detectors to not worry about the detector at low voltages
  537   Thu Mar 14 13:00:23 2024 JB, NH, MA, AM, GAMounting and biasing DSSD 2

new Downstream DSSD2: 3208-2/3208-5/3208-8

Covered with black cloth.

Voltage (V) Current (uA)
10 3.1
20 3.8
30  
40  
50 4.515
60  
70 4.2
80 4.545
90 5.5
100 7.940
110  
120  

Voltage (V)

Current (uA)
10  
20  
30  
40  
50  
60  
70  
100 7.6
110 9.5
120 Breakdown
   
   

Wafer 3 (beam left) shows breakdown issues at 90V... curious

We replace with november beam triple: 3208-3/3208-21/3208-22

We must speak to Micron about why so many 3208 wafers seem to have issues at >90V
It seems unlikely to be other issues

  536   Thu Mar 14 12:13:17 2024 JB, NH, MAMounting and biasing DSSD 1

Upstream bPlast mounted

new Upstream DSSD: 3208-2/3208-5/3208-8

Covered with black cloth.

Voltage (V) Current (uA)
10 3.345
20 3.6
30  
40  
50 4.5ish
60  
70 5.4
80  
90  
100 5.6
110  
120 5.7
   

V-I behaviour found to be nominal.

  535   Wed Mar 13 13:02:19 2024 JB, NH, HA, MADSSD 1 biasing tests

Dismounted Snout and biased DSSSD1 channels

leftmost waifer working

middle waifer reaches 90V then current ramps up

rightmost waifer reaches 80V then current ramps up

DSSSD2 had fingerprint near connections, as shown in the attached image some had been squashed.

Attachment 1: 20240313_142551.jpg
20240313_142551.jpg
  534   Tue Mar 12 16:16:49 2024 NH, TD, JB, HASummary of DSSSD Biasing 12.03
DSSD#1 undergoes a breakdown at 90V, two of the three wafers show this
- The adapter PCBs themselves have no breakdown at 100V, indicating the   issue is internal to the snout

If we are lucky it may be a loose or misaligned kapton connector inside the snout. If not we will have to remove DSSD#2 and inspect DSSD#1 for damage/lint/etc. If we see nothing it should be replaced

DSSD#2 biases perfectly fine, but the leakage current is unstable with biasing -ve to p+n and gnd (return) to n+n. Leakage current is stable biasing +ve to n+n and gnd to p+n. (https://elog.ph.ed.ac.uk/DESPEC/240311_093933/Downstream_positive_bias_vs._Current_(uA).png very nice curve)

We see the same fluctuations just daisy chaining 3 p+n PCBs togerher with the bias (no DSSSD or gnd links). The fluctuations were reduced by connecting all 8 adapter boards of the DSSD together.
When biasing just *one* PCB in this way, the current is stable
The fluctuations happened changing the HV channel, adapter board PCB and LEMO cables: it doesn't seem to be a defect with a specific thing.

The test today confirmed the HV cables (the only ones used) were correctly isolated from everything (OL = "infinite" resistance to ground)

We saw unstable current indications using the Mesytec MHV-4 to apply voltage to the PCB as well.

The behaviour is odd but doesn't seem to be related to the DSSD, which I suspect is OK. One idea is to try connecting the 3 adapter boards to FEEs and repeating the test, as this introduces another (substantial) path to gnd. Maybe this eliminates the current instability? It's about the only difference left from December.

-

For the next steps I believe the snout must be dismounted to inspect DSSD#1. It would be best to coordinate this with replacing the broken(?) bPlas. If we are lucky we may not have to remove the DSSDs but it is likely we have to remove both DSSDs to swap out #1

After replacing the DSSD(s) we should cover the snout with a clean black bin bag and bias it on the MH table to confirm both detectors work. This saves the effort of carrying it to S4 just to find another problem.
If both detectors make it to 120V we can mount it again more confidently

Once we have two biased detectors we can rearrange some FEEs to get 8 for DSSD#1 to do the noise tests. I suggest we wire them up for the numbering plan in https://elog.ph.ed.ac.uk/DESPEC/532 but in the DHCP renumber 9,10,15,16 to 5,6,7,8 temporarily to allow data to be sent to MBS for the dry run (merger limitation)

When the remaining FEEs are recovered from UK+CRYRING we can instrument DSSD#2 and renumber the FEEs to match the cables
  533   Mon Mar 11 15:19:44 2024 JB, NH, Priyanka, Michael Armstrong, Helena AlbersTo Do: AIDA PCB tests

To do:

1) Disconnect ribbon cables from p+n junction FEE64 adaptor PCBs of upstream DSSSD, apply c. 100V bias and check leakage current is zero i.e. eliminate shorts in PCBs

 - When the p+n ribbon cables were disconnected for both the upstream and downstream AIDA, the pins and ribbon cables did not appear to have any blemishes.

- Both sets of adaptor PCBs were biased to 100 V and only had an apparent fluctuation in current at c. 0.01 uA. At low voltages (~10V) the current cycled between 0 and 1 uA.

2) Check that all ribbon cables are properly seated in the adaptor PCBs

- When inspected all ribbon cables seemed to be properly seated. A decent press was applied to confirm the seating. The PCBs themselves were inspected and no noticeable damage was observed.

3) Check all adaptor PCB connector pins are OK: will need to remove ribbon cables

- Done for the p+n side, can decide if this is needed for the n+n side.

4) If/when you open check seating of all Kapton PCBs in the DSSSD connectors *and* carefully check that ribbon cable and Kapton PCB connectors are aligned and not out by 1 or 2 rows say.

-  Will have to decide further.

5) From email discussion: Check the SHV connector is grounded if one unplugs the cable from the back of the HV module. If yes: We are touching a ground somewhere. If no: it's via the HV (fine).

- When HV#0 was disconnected from the HV module, we used a multimeter on the SHV connecter and frame and read zero resistance 0L on the meter. While when completing the circuit for HV#1 (still) plugged into the HV module we read a resistance of > 1.7 Ohm.

- The HV is touching ground somewhere. This should not be a problem however as the snout is isolated, and this was observed by connecting the SHV to the snout and reading 0L.

6) Properly cover snout with black cloth and bias upstream.

- Snout was covered with black cloth and a black bag. The downstream detector p+n side was biased at 50V and charging/discharging was observed. This is probably due to a short connection somewhere.

Summary: from the p+n side test the voltage-current break down appears to come from inside the snout.

  532   Sun Mar 10 17:08:12 2024 NHAIDA FEE Layout + Cabling Plan for S100
Proposed FEE numbering and wiring plan for upcoming experiment S100 (2x Wide DSSSDs)

Image designed in draw.io, source attached 

FEE numbering is as S450, minimises cable movement from S505/Narrow AIDA
But means merger is not working with 1 DSSD (until all FEEs installed)

Wiring of adapter boards as from noise tests and what should work for DSSD bias
LK3 on middle bottom adapter to ground DSSD
LK1 on one n+n adapter to ground n+n side bias 
p+n has -ve voltage (w.r.t. ground) bias applied via lower adapter boards
ground loop grounds all adapter boards, except 2 p+n adapter boards which are grounded by the bias lemo shield instead

MACB layout also included, with expected NIM logic signals for the aida scalers:

1: Pulser/Sync clock (send to all subsystems, "trigger 3")0

3/4: Time Machine 
5/6: SC41L/R 

All other FEEs have their scaler available
(Scaler should be in left LEMO on MACB, right is output (AIDA->NIM/unused), bottom 4 are triggers from AIDA (unused)

Test circuit will not be used in experiment due to noise, but can be temporarily set up for pulser walkthrough

Revision 2 correct as of 27 March 2023
Attachment 1: AIDA_S100_WiringPlan.drawio.png
AIDA_S100_WiringPlan.drawio.png
Attachment 2: AIDA_S100_WiringPlan.drawio
<mxfile host="app.diagrams.net" modified="2024-03-09T18:35:12.515Z" agent="Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:123.0) Gecko/20100101 Firefox/123.0" etag="cLcX_EiphkJQIDoz3Eud" version="24.0.2" type="device">
  <diagram name="Page-1" id="rdp9_B-ufofv8BSNokWG">
    <mxGraphModel dx="1562" dy="846" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1920" pageHeight="1200" math="0" shadow="0">
      <root>
        <mxCell id="0" />
        <mxCell id="1" parent="0" />
        <mxCell id="Lok4d1QbW70blzeSAxq8-34" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-2" target="Lok4d1QbW70blzeSAxq8-3">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-2" value="9" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="280" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-35" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-3" target="Lok4d1QbW70blzeSAxq8-4">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-3" value="1" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="440" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-4" value="10" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="600" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-42" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-5" target="Lok4d1QbW70blzeSAxq8-6">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-5" value="13" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="280" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-43" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-6" target="Lok4d1QbW70blzeSAxq8-7">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-6" value="5" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="440" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-7" value="14" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="600" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-8" value="15" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="280" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-57" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0;exitY=0.5;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-9" target="Lok4d1QbW70blzeSAxq8-8">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-9" value="7" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="440" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-40" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-15">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-54" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.75;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-51">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-56" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0;exitY=0.5;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-9">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-10" value="16" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="600" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-44" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-11" target="Lok4d1QbW70blzeSAxq8-12">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-11" value="11" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="280" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-47" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-12" target="Lok4d1QbW70blzeSAxq8-13">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-12" value="3" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="440" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-32" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-13" target="Lok4d1QbW70blzeSAxq8-14">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-55" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.75;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-13" target="Lok4d1QbW70blzeSAxq8-49">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-13" value="12" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="600" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-61" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-14" target="Lok4d1QbW70blzeSAxq8-2">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-14" value="2" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="160" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-41" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-15" target="Lok4d1QbW70blzeSAxq8-5">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-15" value="6" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="80" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-37" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-16" target="Lok4d1QbW70blzeSAxq8-10">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-16" value="8" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="880" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-17" value="4" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="1">
          <mxGeometry x="800" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-18" value="LK3" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="514" y="416" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-21" value="LK3" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="514" y="496" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-36" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" edge="1" parent="1" source="Lok4d1QbW70blzeSAxq8-22" target="Lok4d1QbW70blzeSAxq8-13">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-22" value="&lt;div&gt;LK1&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="790" y="370" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-23" value="LK1" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="870" y="370" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-49" value="&lt;div&gt;HV CH0&lt;br&gt;NEGATIVE&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="990" y="450" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-51" value="&lt;div&gt;HV CH1&lt;br&gt;NEGATIVE&lt;br&gt;&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="990" y="530" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-59" value="" style="shape=sumEllipse;perimeter=ellipsePerimeter;whiteSpace=wrap;html=1;backgroundOutline=1;" vertex="1" parent="1">
          <mxGeometry x="484" y="305" width="30" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-60" value="Beam Into Page" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="530" y="305" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-62" value="LK1 = Bias -&amp;gt; GND&lt;br&gt;LK3 = DSSD GND -&amp;gt; GND" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" vertex="1" parent="1">
          <mxGeometry x="770" y="125" width="170" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-89" value="" style="group" vertex="1" connectable="0" parent="1">
          <mxGeometry x="230" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-65" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-66" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-67" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-70" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-71" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-72" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-77" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-78" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-79" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-80" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-81" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-84" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-85" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-86" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-87" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-88" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-89">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-90" value="" style="group" vertex="1" connectable="0" parent="1">
          <mxGeometry x="350" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-91" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-92" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-93" value="1" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-94" value="2" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-95" value="3" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-96" value="4" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-97" value="1" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-98" value="2" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-99" value="3" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-100" value="4" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-101" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-102" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-103" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-104" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-105" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-106" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-90">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-107" value="5" style="group" vertex="1" connectable="0" parent="1">
          <mxGeometry x="470" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-108" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-109" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-110" value="5" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-111" value="6" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-112" value="7" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-113" value="8" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-114" value="5" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-115" value="6" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-116" value="7" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-117" value="8" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-118" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-119" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-120" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-121" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-122" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-123" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-107">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-124" value="" style="group" vertex="1" connectable="0" parent="1">
          <mxGeometry x="590" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-125" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-124">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-126" value="" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-124">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-127" value="9" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-124">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-128" value="10" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-124">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-129" value="11" style="rounded=0;whiteSpace=wrap;html=1;" vertex="1" parent="Lok4d1QbW70blzeSAxq8-124">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
... 196 more lines ...
Attachment 3: AIDA_S100_WiringPlan(1).drawio
<mxfile host="app.diagrams.net" modified="2024-03-27T12:12:06.799Z" agent="Mozilla/5.0 (X11; Ubuntu; Linux x86_64; rv:123.0) Gecko/20100101 Firefox/123.0" etag="0KC3XQLCIUXvtcbPW53b" version="23.1.1" type="device">
  <diagram name="Page-1" id="rdp9_B-ufofv8BSNokWG">
    <mxGraphModel dx="794" dy="1153" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1920" pageHeight="1200" math="0" shadow="0">
      <root>
        <mxCell id="0" />
        <mxCell id="1" parent="0" />
        <mxCell id="Lok4d1QbW70blzeSAxq8-34" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-2" target="Lok4d1QbW70blzeSAxq8-3" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-2" value="9" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="280" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-35" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-3" target="Lok4d1QbW70blzeSAxq8-4" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-3" value="1" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="440" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-4" value="5" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="600" y="200" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-42" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-5" target="Lok4d1QbW70blzeSAxq8-6" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-5" value="10" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="280" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-43" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-6" target="Lok4d1QbW70blzeSAxq8-7" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-6" value="14" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="440" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-7" value="13" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="600" y="120" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-8" value="11" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="280" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-57" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0;exitY=0.5;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;" parent="1" source="Lok4d1QbW70blzeSAxq8-9" target="Lok4d1QbW70blzeSAxq8-8" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-9" value="7" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="440" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-40" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-15" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-54" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.75;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-51" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-56" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0;exitY=0.5;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;" parent="1" source="Lok4d1QbW70blzeSAxq8-10" target="Lok4d1QbW70blzeSAxq8-9" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-10" value="16" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="600" y="480" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-44" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-11" target="Lok4d1QbW70blzeSAxq8-12" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-11" value="15" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="280" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-47" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=1;exitY=0.5;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-12" target="Lok4d1QbW70blzeSAxq8-13" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-12" value="3" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="440" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-32" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-13" target="Lok4d1QbW70blzeSAxq8-14" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-55" value="BIAS" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.75;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#ffe6cc;strokeColor=#d79b00;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-13" target="Lok4d1QbW70blzeSAxq8-49" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-13" value="12" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="600" y="400" width="120" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-61" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-14" target="Lok4d1QbW70blzeSAxq8-2" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-14" value="2" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="160" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-41" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-15" target="Lok4d1QbW70blzeSAxq8-5" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-15" value="6" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="80" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-37" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-16" target="Lok4d1QbW70blzeSAxq8-10" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-16" value="8" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="880" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-17" value="4" style="rounded=0;whiteSpace=wrap;html=1;" parent="1" vertex="1">
          <mxGeometry x="800" y="240" width="40" height="160" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-18" value="LK3" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="514" y="416" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-21" value="LK3" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="514" y="496" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-36" value="GND" style="edgeStyle=orthogonalEdgeStyle;rounded=0;orthogonalLoop=1;jettySize=auto;html=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;fillColor=#d5e8d4;strokeColor=#82b366;endArrow=none;endFill=0;strokeWidth=2;" parent="1" source="Lok4d1QbW70blzeSAxq8-22" target="Lok4d1QbW70blzeSAxq8-13" edge="1">
          <mxGeometry relative="1" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-22" value="&lt;div&gt;LK1&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="790" y="370" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-23" value="LK1" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="870" y="370" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-49" value="&lt;div&gt;HV CH0&lt;br&gt;NEGATIVE&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="990" y="450" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-51" value="&lt;div&gt;HV CH1&lt;br&gt;NEGATIVE&lt;br&gt;&lt;/div&gt;" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="990" y="530" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-59" value="" style="shape=sumEllipse;perimeter=ellipsePerimeter;whiteSpace=wrap;html=1;backgroundOutline=1;" parent="1" vertex="1">
          <mxGeometry x="484" y="305" width="30" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-60" value="Beam Into Page" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="530" y="305" width="60" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-62" value="LK1 = Bias -&amp;gt; GND&lt;br&gt;LK3 = DSSD PCB GND -&amp;gt; GND" style="text;html=1;align=center;verticalAlign=middle;whiteSpace=wrap;rounded=0;" parent="1" vertex="1">
          <mxGeometry x="760" y="125" width="180" height="30" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-89" value="" style="group" parent="1" vertex="1" connectable="0">
          <mxGeometry x="230" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-65" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-66" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-67" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-70" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-71" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-72" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-77" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-78" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-79" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-80" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-81" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-84" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-85" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-86" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-87" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-88" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-89" vertex="1">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-90" value="" style="group" parent="1" vertex="1" connectable="0">
          <mxGeometry x="350" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-91" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-92" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-93" value="1" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-94" value="2" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-95" value="3" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-96" value="4" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-97" value="1" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-98" value="2" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-99" value="3" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-100" value="4" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-101" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-102" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-103" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-104" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-105" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-106" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-90" vertex="1">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-107" value="5" style="group" parent="1" vertex="1" connectable="0">
          <mxGeometry x="470" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-108" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-109" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-110" value="5" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-111" value="6" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-112" value="7" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-113" value="8" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="25" y="230" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-114" value="5" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="10" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-115" value="6" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="10" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-116" value="7" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="10" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-117" value="8" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="10" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-118" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="10" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-119" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="40" y="310" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-120" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="40" y="340" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-121" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="40" y="370" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-122" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="40" y="400" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-123" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;" parent="Lok4d1QbW70blzeSAxq8-107" vertex="1">
          <mxGeometry x="40" y="440" width="20" height="20" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-124" value="" style="group" parent="1" vertex="1" connectable="0">
          <mxGeometry x="590" y="590" width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-125" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-124" vertex="1">
          <mxGeometry width="70" height="480" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-126" value="" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-124" vertex="1">
          <mxGeometry x="25" y="30" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-127" value="9" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-124" vertex="1">
          <mxGeometry x="25" y="80" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-128" value="10" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-124" vertex="1">
          <mxGeometry x="25" y="130" width="20" height="40" as="geometry" />
        </mxCell>
        <mxCell id="Lok4d1QbW70blzeSAxq8-129" value="11" style="rounded=0;whiteSpace=wrap;html=1;" parent="Lok4d1QbW70blzeSAxq8-124" vertex="1">
          <mxGeometry x="25" y="180" width="20" height="40" as="geometry" />
        </mxCell>
... 207 more lines ...
Attachment 4: AIDA_S100_WiringPlan.drawio.png
AIDA_S100_WiringPlan.drawio.png
  531   Fri Mar 8 16:05:57 2024 JB, CC, TD, NHFriday 8 March

Bias tests of AIDA on individual wafers and in parallel. Spreadsheet can be found in attachment 1, and graphic results can be seen in Attachment 2. Summary: Upstream detector cannot be biased in parallel nor individually. Downstream detector can be biased with positively and each wafer can individually be biased negatively. In parallel, it was not possible to bias the downstream detector negatively as indicated by the tests below.

Test downstream DSSSD with positive polarity bias

Configuration as follows:

CAEN N1419ET ch #3 connected to LHS FEE64 adaptor PCB ( looking upstream ) - LK1 *not* fitted LK1 fitted to 3x ( top )

FEE64 adaptor PCBs Lemo 00.250 jumper cables from/to GND terminals of 3x ( top )

FEE64 adaptor PCBs *and* LHS FEE64 adaptor PCB 1x ( bottom, middle )

FEE64 adaptor PCB connected to ribbon cables but not otherwise connected to anything, LK3 fitted Total 5x adaptor PCBs installed

No other LKs fitted

Bias Voltage (V) Current (uA)
+10 2.150
+20 3.300
+30 4.035
+40 4.550
+50 4.955
+60 5.255
+70 5.490
+80 5.650
+90 5.730
+100 5.780
+110 5.825
+120 5.860

Nominal V-I curve, stable leakage current. Attachment 3.

Following this success we attempted to repeat test using negative polarity bias

Configuration as follows:

CAEN N1419ET ch #1 connected to ( top, left )

FEE64 adaptor PCB ( looking upstream ) LHS

FEE64 adaptor PCB ( looking upstream ) - LK1 fitted Lemo 00.250 jumper cables from/to BIAS terminals of 3x ( top )

FEE64 adaptor PCBs Lemo 00.250 jumper cable from/to GND terminals of LHS and ( top, left )

FEE64 adaptor PCBs 1x ( bottom, middle )

FEE64 adaptor PCB connected to ribbon cables but not otherwise connected to anything,

LK3 fitted Total 5x adaptor PCBs installed No other LKs fitted With detector bias

-20V we continue to observe the leakage current cycling between 0 and ~2uA with a frequency ~1Hz ( as before )

Copy configuration used for upstream DSSSD test ( which was successful albeit there was detector breakdown at bias voltages > c. 90V )

CAEN N1419ET ch #1 connected to ( bottom, left )

FEE64 adaptor PCB ( looking upstream ) LHS

FEE64 adaptor PCB ( looking upstream ) - LK1 fitted Lemo 00.250 jumper cables from/to BIAS terminals of 3x ( bottom )

FEE64 adaptor PCBs Ground cable jumpered from/to GND terminals LHS, ( left, bottom ), RHS and all 3x top FEE64 adaptor PCBs ( bottom, middle )

FEE64 adaptor PCB LK3 fitted Total 8x adaptor PCBs installed

No other LKs fitted With detector bias -20V we observe leakage current of ~2-3uA.

Current unstable - variations 10-100nA over periods of several seconds Although the leakage current is unstable this is an improvement over previous tests with negative bias. The duplication of upstream and downstream configurations suggests that for some unknown reason it is necessary to connect all 8x FEE64 adaptor PCBs whereas our expectation was that only 4x were necessary.

Summary: Upstream DSSSD Si wafers 1 & 2 breakdown for bias > c. 90V Si wafer 3 OK to 120V Positive bias - not tested Negative bias OK - leakage current stable to c. 90V Downstream DSSSD Si wafers 1, 2 & 3 OK to 120V Positive bias OK Negative bias - leakage current unstable

To do:

1) Disconnect ribbon cables from p+n junction FEE64 adaptor PCBs of upstream DSSSD, apply c. 100V bias and check leakage current is zero i.e. eliminate shorts in PCBs

2) Check that all ribbon cables are properly seated in the adaptor PCBs

3) Check all adaptor PCB connector pins are OK: will need to remove ribbon cables

4) If/when you open check seating of all Kapton PCBs in the DSSSD connectors *and* carefully check that ribbon cable and Kapton PCB connectors are aligned and not out by 1 or 2 rows say.

 

Attachment 1: IV_test_AIDA.xlsx
Attachment 2: chart.png
chart.png
Attachment 3: Downstream_positive_bias_vs._Current_(uA).png
Downstream_positive_bias_vs._Current_(uA).png
  530   Thu Mar 7 15:15:29 2024 JB, NH, CCAIDA HV Bias Test IV Curve
AIDA I-V Test
Voltage (V) Current (uA)
10 1.43
20 2.065
30 2.415
40 2.64
50 2.825
60 2.99
70 3.185
80 3.58
90 5.01
100 9.4

- Voltage-Current test of newly installed AIDA snout. Breakdown observed at around ~92 V. Probably caused my light leakage into the snout, will investigate further.

- Tried to cover the snout with a black cloth. This did not change the breakdown behaviour.

  529   Thu Mar 7 11:09:05 2024 HA, JB, CC, TD, MGThursday 7 March
Snout assembly

DSSSDs

Upstream   3208-10/3208-18/3208-20
Downstream 3131-5/3131-10/3131-12

p+n junction side bias continuity checked OK for *all* wafers
 all c. 22 Ohm as expected

n+n Ohmic side bias continuity checked OK for both DSSSDs
 upstream 73 Ohm, downstream 92 Ohm

n+n Ohmic side bias wafer #2 
 positioned at top of snout assembly ( lower stage snout side marked 'T' )

p+n junction side faces downstream


Distances

Using top edge of lower stage snout as reference

ref - middle of upstream bPlas 8.0cm
ref - upstream DSSSD 11.0cm
ref - downstream DSSSD 12.0cm
ref - middle of downstream bPlas 14.0cm

Measurements consistent to +/-1mm between LHS and RHS of snout assembly as viewed from top side 


13.00 At this position the Kapton PCBs bulged in/out and there was some concern that when the upper stage
snout was installed it would the Kapton PCBs into the 'active' area. It was decided to move the 2x bPlas
and 2x DSSSDs c. 5mm downstream.

Jeroen and Phillip cut sections from 4x PEEK 6mm spacers so that they would clip onto 3mm dia support rods.

We raised the assembly fro the inter stage and inserted the modified spacers between the inter stage and 
the other spacers above. The Kapton PCBs now run fairly straight to the DSSSD connectors.

Distances re-measured as

Using top edge of lower stage snout as reference

ref - middle of upstream bPlas 8.6cm
ref - upstream DSSSD 11.5cm
ref - downstream DSSSD 12.4cm
ref - middle of downstream bPlas 14.5cm
  528   Tue Mar 5 09:10:01 2024 MA, JB, TDTuesday 5 March
Old ribbon cable assemblies - attachments 1  2

Length of cable from base of AIDA snout assembly to Samtec FFSD ribbon cable connectors

p+n junction side 10-11cm & 25.5cm
n+n Ohmic side 11cm & 25cm

Distance from Delron base of AIDA snout assembly to Samtec CLP connectors ( Kapton PCBs ) 51.5cm & 52.5cm



Manufacture of new ribbon cable assemblies - attachment 3

8x 2x 29" Samtec FFSD 34-way cables
8x 2x 23" Samtec FFSD 34-way cables


17.52 from Helena

"These are the detector positions within the snout:

Total snout length of 573 mm (380 mm bottom stage + 193 top stage), relative to the 'black flange' of the AIDA frame

Upstream AIDA @ 513 mm

Downstream AIDA @ 523 mm

This leaves 5cm space for the Downstream bPlast AND the BB7 layer together. I believe this should be enough space - can you all confirm for AIDA/bPlast/BB7 if this is 
agreed upon? It would be great to get a fast response so that we are ready for mounting tomorrow. If we need more space we could think of shifting everything upstream by 
10mm.

The upstream bPlast will need to go first such that the upstream AIDA is at 513mm. I don't have the measurements to hand to give the position."
Attachment 1: 20240305_101140.jpg
20240305_101140.jpg
Attachment 2: 20240305_101146.jpg
20240305_101146.jpg
Attachment 3: 20240305_165949.jpg
20240305_165949.jpg
  527   Mon Mar 4 11:35:30 2024 TDMonday 4 March
12.30 FEE64 41:d7:cd ASIC mezzanine u/s, no data, ASIC temperature c. 20 deg C ( ambient ) low
      Replaced ASIC mezzanine with new ASIC mezzanine
      Installed as aida08

      Water temperature & pressure as measured outside S4 area - OK 

      Manually power FEE64s

      BNC PB-5 local control/ON
      Amplitude 1.0V
      Attenuation x10
      Polarity +
      tau_d 1ms
      Frequency 22Hz

      FEE64 temps - attachment 1
       aida02 with new ASIC mezzanine continues to ramp to c. 512 deg C - initially reads 0, next refresh c. 70 and third refresh c. 512 deg C
       aida02 Virtex and PSU temps OK, aida02 cooling plate ambient to touch

       aida08 ASIC temp c. 45 deg C as expected, Virtex and PSU temps OK

      All system wide checks OK

      WR timestamp OK - attachment 2

      aida04 ASIC settings - attachment 3

      ADC data item stats OK - attachment 4
   
      aida04 Rate spectrum - attachment 5

      aida04 *.*.L spectra - attachments 6-9
       1.8.L pulser peak width 13 ch FWHM

      aida04 1.8.W spectra - 20us FSR - attachment 10-11
Attachment 1: Screenshot_from_2024-03-04_12-27-04.png
Screenshot_from_2024-03-04_12-27-04.png
Attachment 2: Screenshot_from_2024-03-04_12-37-24.png
Screenshot_from_2024-03-04_12-37-24.png
Attachment 3: Screenshot_from_2024-03-04_12-27-34.png
Screenshot_from_2024-03-04_12-27-34.png
Attachment 4: Screenshot_from_2024-03-03_19-02-33.png
Screenshot_from_2024-03-03_19-02-33.png
Attachment 5: Screenshot_from_2024-03-04_12-29-44.png
Screenshot_from_2024-03-04_12-29-44.png
Attachment 6: Screenshot_from_2024-03-04_12-33-43.png
Screenshot_from_2024-03-04_12-33-43.png
Attachment 7: Screenshot_from_2024-03-04_12-32-50.png
Screenshot_from_2024-03-04_12-32-50.png
Attachment 8: Screenshot_from_2024-03-04_12-32-11.png
Screenshot_from_2024-03-04_12-32-11.png
Attachment 9: Screenshot_from_2024-03-04_12-31-30.png
Screenshot_from_2024-03-04_12-31-30.png
Attachment 10: Screenshot_from_2024-03-04_12-34-49.png
Screenshot_from_2024-03-04_12-34-49.png
Attachment 11: Screenshot_from_2024-03-04_12-34-40.png
Screenshot_from_2024-03-04_12-34-40.png
  526   Sun Mar 3 16:06:11 2024 TDSunday 3 March
17.00 FEE64 41:a0:71 ASIC #2 u/s
      Replaced ASIC mezzanine with new ASIC mezzanine
      Installed as aida04

      Unable to boot nnrpi2 - manual/local control of BNC PB-5 and FEE64 PSU - no interlock

      Water temperature & pressure as measured outside S4 area - OK 

      Manually power FEE64s

      BNC PB-5 local control/ON
      Amplitude 1.0V
      Attenuation x10
      Polarity -
      tau_d 1ms
      Frequency 22Hz

      FEE64 temps OK - attachment 1

      All system wide checks OK

      WR timestamp OK - attachment 2

      aida04 ASIC settings - attachment 3

      ADC data item stats OK - attachment 4
   
      aida04 Rate spectrum - attachment 5

      aida04 *.*.L spectra - attachments 6-9
       1.8.L pulser peak width 15 ch FWHM

      aida04 1.8.W spectra - 20us FSR - attachment 10-11

      MIDAS configuration - attachment 12

      DHCP config - attachment 13



18.30 FEE64 41:f6:b7 ASIC #1 u/s
      Replaced ASIC mezzanine with new ASIC mezzanine
      Installed as aida02

      All system wide checks OK

      FEE64 temps - attachment 14
       aida02 ASIC temperature c. 512 deg C !
       PSU/Virtex temps OK
       Mezzanine ambient to touch - assume faulty sensor/poor connection?

      aida02 ASIC settings - attachment 15

      WR timestamp OK - attachment 16

      ADC data item stats OK - attachment 4

      aida04 Rate spectrum - attachment 18

      aida02 1.8.W spectra - 20us FSR - attachment 19-20

      aida02 *.*.L spectra - attachments 21-24
       1.8.L pulser peak width 16 ch FWHM


19.30 Power OFF



     
Attachment 1: Screenshot_from_2024-03-03_16-54-42.png
Screenshot_from_2024-03-03_16-54-42.png
Attachment 2: Screenshot_from_2024-03-03_16-55-14.png
Screenshot_from_2024-03-03_16-55-14.png
Attachment 3: Screenshot_from_2024-03-03_16-55-21.png
Screenshot_from_2024-03-03_16-55-21.png
Attachment 4: Screenshot_from_2024-03-03_17-07-26.png
Screenshot_from_2024-03-03_17-07-26.png
Attachment 5: Screenshot_from_2024-03-03_16-53-52.png
Screenshot_from_2024-03-03_16-53-52.png
Attachment 6: Screenshot_from_2024-03-03_17-02-09.png
Screenshot_from_2024-03-03_17-02-09.png
Attachment 7: Screenshot_from_2024-03-03_17-01-52.png
Screenshot_from_2024-03-03_17-01-52.png
Attachment 8: Screenshot_from_2024-03-03_17-00-18.png
Screenshot_from_2024-03-03_17-00-18.png
Attachment 9: Screenshot_from_2024-03-03_16-59-23.png
Screenshot_from_2024-03-03_16-59-23.png
Attachment 10: Screenshot_from_2024-03-03_16-58-43.png
Screenshot_from_2024-03-03_16-58-43.png
Attachment 11: Screenshot_from_2024-03-03_16-57-09.png
Screenshot_from_2024-03-03_16-57-09.png
Attachment 12: startup.tcl

   Setting BGColor "BlanchedAlmond"
   Setting TextColor "red"

      Setting ProjectName  AIDA
      Setting ProjectImage AIDA.gif
      Setting ProjectURL   "http://npg.dl.ac.uk/NPG/Projects/Fair.html"


  Setting Option1Name STFC
  Setting Option1URL  "stfc.ac.uk"
  Setting Option1Image stfc.jpg


# global MessageLoggerServerHost
#  set  MessageLoggerServerHost nndhcp077.dl.ac.uk

#    package require  MessageLoggerClient 

#  Setting Option3Name "Elog Server"
#  Setting Option3URL  "http://nndhcp077.dl.ac.uk:8080/DataAcq/"

#    Install required services

    if {![namespace exists ::AIDA]} {namespace eval ::AIDA {puts "created namespace ::AIDA"}}
#    set ::AIDA::TestMode 1

    global SpectrumServerHost
    set SpectrumServerHost aidas

   package require XAIDAAccessClient  ;# for Client end - ie AIDA server workstation  sets MIDAS_XAIDAAccessClient_Exists (SOAP)

   package require XAD9252AccessClient ;# for Client end - ie AIDA server workstation  sets MIDAS_XAD9252AccessClient_Exists (SOAP)

namespace eval DataAcquisition {
     variable PROJECT AIDA
     variable ACQSERVERS
     set ACQSERVERS [list aida01 aida03 aida04 aida05 aida08]
     variable ACQSERVER [first $ACQSERVERS]
     variable DataTransferStreams; set DataTransferStreams 2
#     set ::DataAcquisition::EnableMerger 1
#     set ::DataAcquisition::EnableTape 1
    variable RDOGo 0 
}

namespace eval AIDA {
      variable ModuleMenu; set ModuleMenu $::DataAcquisition::ACQSERVERS
      variable TSMaster; set TSMaster aida01
      variable FEE64 [first $ModuleMenu]
      variable ConfigBase; set ConfigBase [file join $env(MIDASBASE) config TclHttpd]
}

    Setting DataBaseRoot "/MIDAS/DB"
    package require DataBaseAccessServer

    package require NetVarsServer 1.1
    package require SigTaskServer

#    package require MemSasServer

#    Install services for Run Control

namespace eval $::DataAcquisition::PROJECT {
    variable DBRootName [file join EXPERIMENTS AIDA]
    variable DBNodeBase 2012
}

  package require AIDARunControl
#  package require TapeServer
#  package require MergerControl
#  package require MERGERunControl


  Setting Option7Name "Project Home Page"
  Setting Option7URL  "http://npg.dl.ac.uk/NPG/Projects/Fair.html"

  Setting Option8Name "Server Software Home Page"
  Setting Option8URL  "http://npg.dl.ac.uk/MIDAS/download/WebServices.html"


Attachment 13: dhcpd.conf
#
# DHCP Server Configuration file.
#   see /usr/share/doc/dhcp*/dhcpd.conf.sample  
#
# Date of last update  Jan 12 2015 
#
authoritative;

ddns-update-style none; ddns-updates off;

# 2 days
#default-lease-time 172800;
# 4 days
default-lease-time 345600;
# 8 days
max-lease-time 691200;

option domain-search code 119 = string;

option domain-name "dl.ac.uk";
option domain-name-servers 193.62.115.16, 148.79.80.78;
option netbios-name-servers 148.79.160.89;
option netbios-node-type 8;
option nis-domain "nuclear.physics";
option nis-servers 193.62.115.77;


subnet 192.168.11.0 netmask 255.255.255.0 {
   option subnet-mask 255.255.255.0;
   option broadcast-address 192.168.11.255;
   pool {
 range 192.168.11.118 192.168.11.199;
   }
}

group {
   use-host-decl-names true;
   default-lease-time 3600;
   max-lease-time 14400;

   server-name "192.168.11.99";
   next-server 192.168.11.99;

   host nnrpi1 {
     hardware ethernet b8:27:eb:bb:46:7b;
     fixed-address 192.168.11.251;
   }

   host nnrpi2 {
     hardware ethernet b8:27:eb:40:53:e8;
     fixed-address 192.168.11.117;
   }

   host edinburgh {
      hardware ethernet 00:14:4f:9b:6e:7a;
      fixed-address 192.168.11.118;
   }

   host aida01 {
     hardware ethernet d8:80:39:41:ba:8a;
     fixed-address 192.168.11.1;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida01";
   }

   host aida02 {
     hardware ethernet d8:80:39:41:ba:22;
     fixed-address 192.168.11.2;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida02";
   }

   host aida03 {
     hardware ethernet d8:80:39:41:d8:21;
     fixed-address 192.168.11.3;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida03";
   }

   host aida04 {
     hardware ethernet d8:80:39:41:a0:71;
     fixed-address 192.168.11.4;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida04";
   }


   host aida05 {
     hardware ethernet d8:80:39:41:ee:10;
     fixed-address 192.168.11.5;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida05";
   }

   host aida06 {
     hardware ethernet d8:80:39:41:cf:ac;
     fixed-address 192.168.11.6;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06";
   }

   host aida07 {
     hardware ethernet d8:80:39:41:f6:5a;
     fixed-address 192.168.11.7;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida07";
   }

   host aida08 {
     hardware ethernet d8:80:39:41:ba:89;
     fixed-address 192.168.11.8;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida08";
   }

   host aida09 {
     hardware ethernet d8:80:39:42:0d:15;
     fixed-address 192.168.11.9;
    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida09";
   }

   #host aida06 {
   #  hardware ethernet d8:80:39:41:ee:72;
   #  fixed-address 192.168.11.6;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06";
   #}

   #host aida07 {
   #  hardware ethernet d8:80:39:41:b4:0c;
   #  fixed-address 192.168.11.7;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida07";
   #}

   #host aida08 {
   #  hardware ethernet d8:80:39:41:ba:2b;
   #  fixed-address 192.168.11.8;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida08";
   #}

   #host aida13 {
   #  hardware ethernet d8:80:39:42:d:15;
   #  fixed-address 192.168.11.13;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida13";
   #}

#   host aida14 {
#     hardware ethernet d8:80:39:42:d:b;
#     fixed-address 192.168.11.14;
#    option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida14";
#   }

   #host aida05 {
   #  hardware ethernet d8:80:39:41:ee:10;
   #  fixed-address 192.168.11.5;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida05";
   #}

   #host aida14 {
   #  hardware ethernet d8:80:39:41:f6:ed;
   #  fixed-address 192.168.11.14;
   # option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida14";
   #}
}


subnet 192.168.12.0 netmask 255.255.255.0 {
   option subnet-mask 255.255.255.0;
   option broadcast-address 192.168.12.255;
   pool {
 range 192.168.12.100 192.168.12.199;
   }
}

group {
   use-host-decl-names true;
   default-lease-time 3600;
   max-lease-time 14400;

   server-name "192.168.12.99";
   next-server 192.168.12.99;







}


Attachment 14: Screenshot_from_2024-03-03_18-53-03.png
Screenshot_from_2024-03-03_18-53-03.png
Attachment 15: Screenshot_from_2024-03-03_18-53-16.png
Screenshot_from_2024-03-03_18-53-16.png
Attachment 16: Screenshot_from_2024-03-03_18-53-41.png
Screenshot_from_2024-03-03_18-53-41.png
Attachment 17: Screenshot_from_2024-03-03_19-02-33.png
Screenshot_from_2024-03-03_19-02-33.png
Attachment 18: Screenshot_from_2024-03-03_18-53-47.png
Screenshot_from_2024-03-03_18-53-47.png
Attachment 19: Screenshot_from_2024-03-03_19-01-41.png
Screenshot_from_2024-03-03_19-01-41.png
Attachment 20: Screenshot_from_2024-03-03_19-01-31.png
Screenshot_from_2024-03-03_19-01-31.png
Attachment 21: Screenshot_from_2024-03-03_19-00-11.png
Screenshot_from_2024-03-03_19-00-11.png
Attachment 22: Screenshot_from_2024-03-03_18-59-24.png
Screenshot_from_2024-03-03_18-59-24.png
Attachment 23: Screenshot_from_2024-03-03_18-58-39.png
Screenshot_from_2024-03-03_18-58-39.png
Attachment 24: Screenshot_from_2024-03-03_18-57-57.png
Screenshot_from_2024-03-03_18-57-57.png
  525   Mon Feb 26 13:17:51 2024 TDOffline analysis of DEC23/R9_6
First pass analysis of data file /TapeData/DEC23/R9_6

 *** TDR format 3.3.0 analyser - TD - May 2021
 *** ERROR: READ I/O error:       5002
                   blocks:      32000
          ADC data format:  234532726 (   99567.1 Hz)
        Other data format:   27387282 (   11626.8 Hz)
 Sample trace data format:          0 (       0.0 Hz)
         Undefined format:          0 (       0.0 Hz)
   Other data format type:      PAUSE:         53 (       0.0 Hz)
                               RESUME:         53 (       0.0 Hz)
                              SYNC100:      40775 (      17.3 Hz)
                              WR48-63:      40775 (      17.3 Hz)
                           FEE64 disc:    2928025 (    1243.0 Hz)
                             MBS info:   24377601 (   10349.1 Hz)
                           Other info:          0 (       0.0 Hz)

   ADC data range bit set:     287073 (     121.9 Hz)

                Timewarps:        ADC:          0 (       0.0 Hz)
                                PAUSE:          0 (       0.0 Hz)
                               RESUME:          0 (       0.0 Hz)
                              SYNC100:          0 (       0.0 Hz)
                              WR48-63:          0 (       0.0 Hz)
                           FEE64 disc:          0 (       0.0 Hz)
                             MBS info:          0 (       0.0 Hz)
                            Undefined:          0 (       0.0 Hz)
                         Sample trace:          0 (       0.0 Hz)

 *** Timestamp elapsed time:     2355.524 s

 *** Statistics
 FEE  ADC Data Other Data     Sample  Undefined      Pause     Resume    SYNC100    WR48-63       Disc        MBS      Other   HEC Data
  0   59013868     372005          0          0         20         20       9888       9888     281563      70626          0      55467
  1   31445079    1098714          0          0          2          2       5017       5017     243009     845667          0      38876
  2   22895499   11841669          0          0          1          1       5294       5294     482655   11348424          0      42748
  3   18228498   11705354          0          0          0          0       3913       3913     416619   11280909          0      78526
  4   25500772    1237178          0          0          3          3       4320       4320     396557     831975          0      28918
  5          4          0          0          0          0          0          0          0          0          0          0          0
  6   15933921     394210          0          0          0          0       2560       2560     389090          0          0      11489
  7   61515085     738152          0          0         27         27       9783       9783     718532          0          0      31049

FEE64 configuration https://elog.ph.ed.ac.uk/AIDA/935


Note

- aida06 not connected to DSSSD ( cabling broken  - will be replaced later this week )

- LEC ( 20MeV FSR ) data ADC offset corrected 
   ADC offsets available for 489 of 512 channels and are included in the analysis - 23 strips for which no ADC offsets could be calculated ( usually because there was 
no 
pulser data ) are not included in analysis 
- LEC ( 20MeV FSR ) front-back strip energy difference cut +/- 50 channels ( c. +/- 280keV )
- HEC ( 2GeV FSR ) front-back strip energy difference cut +/-200 channels ( c. +/- 1120MeV )

- LEC ADC data: 13 < channel < 188 ( c. 73-1053keV )
- HEC ADC data: > 13 channels ( c. 73MeV )
- FEE64 hardware thresholds: LEC c. 100keV, HEC c. 200MeV 




Attachments 1 & 2 - per pixel HEC-LEC event time difference spectra - 4.096us/channel

Attachments 3 & 4 - per pixel HEC-LEC event time difference spectra - 65.536us/channel

Attachment 5 - DSSSD x-y hit pattern: HEC-LEC event time difference < 4.3s
 Majority of events associated with 'hot' p+n junction strips - few plausible decay candidate events - as expected.
 z-scale - semi-logarithmic - scattered events are single counts ( blue )
 1 count => rate ~ 1/2355s ~ 0.0004Hz, the great majority of pixels have zero counts

Attachment 6 - LEC ( decay ) and HEC ( implant ) events - 262.144us/channel ( 65536 channels = 17.2s ) 

HEC implants channels 12000-25000 = 216 counts => HEC rate ( in spill ) 63.4Hz
LEC decays channels 12000-25000 = 3865 counts => LEC rate ( in spill ) 1134.1Hz
LEC decays channels 25000-38000 = 2790 counts => LEC rate ( inter spill ) 818.7Hz

Attachment 7 - per pixel HEC-HEC event time difference spectra - 4.096us/channel

Attachment 8 - per pixel LEC-LEC event time difference spectra - 4.096us/channel

Attachment 9 - variables.dat
This is a ( Fortran ) Namelist I/O data file containing of the ADC offsets, FEE64 configuration, LEC and HEC energy difference windows 

The ADC offset channel number is calculated as

channel = channel_ident + ( module * 64 ) where module = 0-7 corresponding to AIDA FEE64s aida01-aida08

and is used as follows

ADC data = INT ( RSHIFT( ABS( 32768 - data( i ) ), 3 ) - offset( i ) + 0.5 )

where data(i) is the ADC data item for channel i, offset(i) is the ADC offset for channel i

An ADC offset of -9999 means there was no pulser data for this channel in data files R5 and R11.

               
Attachment 1: Screenshot_from_2024-03-01_11-41-11.png
Screenshot_from_2024-03-01_11-41-11.png
Attachment 2: Screenshot_from_2024-03-01_11-41-30.png
Screenshot_from_2024-03-01_11-41-30.png
Attachment 3: Screenshot_from_2024-03-01_11-42-06.png
Screenshot_from_2024-03-01_11-42-06.png
Attachment 4: Screenshot_from_2024-03-01_11-42-22.png
Screenshot_from_2024-03-01_11-42-22.png
Attachment 5: Screenshot_from_2024-03-01_11-43-13.png
Screenshot_from_2024-03-01_11-43-13.png
Attachment 6: Screenshot_from_2024-03-01_11-44-33.png
Screenshot_from_2024-03-01_11-44-33.png
Attachment 7: Screenshot_from_2024-03-01_11-38-52.png
Screenshot_from_2024-03-01_11-38-52.png
Attachment 8: Screenshot_from_2024-03-01_11-40-37.png
Screenshot_from_2024-03-01_11-40-37.png
Attachment 9: variables.dat
  $variables
  offset(   0) =    10.71
  offset(   1) =    14.56
  offset(   2) =   -13.88
  offset(   3) =    -9.85
  offset(   4) =   -18.84
  offset(   5) =    -3.41
  offset(   6) =    24.00
  offset(   7) =    23.10
  offset(   8) =    -2.39
  offset(   9) =   -15.90
  offset(  10) =    25.89
  offset(  11) =   -55.27
  offset(  12) =     6.39
  offset(  13) =   -31.83
  offset(  14) =     7.94
  offset(  15) =     7.69
  offset(  16) =     3.46
  offset(  17) =    -3.12
  offset(  18) =    16.24
  offset(  19) =    -6.69
  offset(  20) =    18.42
  offset(  21) = -9999.99
  offset(  22) =   -22.39
  offset(  23) =     1.94
  offset(  24) =   -11.29
  offset(  25) =    -5.55
  offset(  26) =     7.88
  offset(  27) =    -4.32
  offset(  28) =    -7.79
  offset(  29) =   -13.60
  offset(  30) =     2.61
  offset(  31) =    14.95
  offset(  32) =     4.43
  offset(  33) = -9999.99
  offset(  34) =    -6.61
  offset(  35) =   -22.58
  offset(  36) = -9999.99
  offset(  37) =    11.90
  offset(  38) = -9999.99
  offset(  39) =    -1.80
  offset(  40) =   -26.27
  offset(  41) = -9999.99
  offset(  42) =    -1.99
  offset(  43) =    -3.66
  offset(  44) = -9999.99
  offset(  45) =     7.10
  offset(  46) =    -0.31
  offset(  47) =    26.80
  offset(  48) =   -16.60
  offset(  49) = -9999.99
  offset(  50) =    -9.08
  offset(  51) =   -10.53
  offset(  52) =   -28.24
  offset(  53) =    13.09
  offset(  54) =   -12.42
  offset(  55) =   -22.52
  offset(  56) =     3.27
  offset(  57) =   -26.47
  offset(  58) =     7.17
  offset(  59) =     5.31
  offset(  60) =   -29.79
  offset(  61) =    19.75
  offset(  62) =     2.12
  offset(  63) =   -22.37
  offset(  64) =     3.02
  offset(  65) =     1.74
  offset(  66) =    23.39
  offset(  67) =    43.87
  offset(  68) =   -15.18
  offset(  69) =    11.78
  offset(  70) =   -14.59
  offset(  71) =     1.89
  offset(  72) =   -15.75
  offset(  73) =    25.22
  offset(  74) =     7.83
  offset(  75) = -9999.99
  offset(  76) =     6.46
  offset(  77) =    12.32
  offset(  78) =     7.61
  offset(  79) =   -11.58
  offset(  80) =    14.92
  offset(  81) =    -5.12
  offset(  82) = -9999.99
  offset(  83) =    13.14
  offset(  84) =   -13.39
  offset(  85) =    29.08
  offset(  86) =     9.65
  offset(  87) =     5.07
  offset(  88) =   -29.50
  offset(  89) = -9999.99
  offset(  90) =   -32.90
  offset(  91) =    -1.58
  offset(  92) =    10.27
  offset(  93) =    10.60
  offset(  94) =     6.11
  offset(  95) =   -17.37
  offset(  96) = -9999.99
  offset(  97) =   -15.29
  offset(  98) =     5.60
  offset(  99) =    18.18
  offset( 100) =     6.95
  offset( 101) =    34.63
  offset( 102) =    24.44
  offset( 103) = -9999.99
  offset( 104) =    10.31
  offset( 105) =     2.06
  offset( 106) =    24.74
  offset( 107) =    14.27
  offset( 108) =    -2.56
  offset( 109) =    -3.93
  offset( 110) = -9999.99
  offset( 111) =     8.74
  offset( 112) =    10.62
  offset( 113) =     8.13
  offset( 114) =    -9.11
  offset( 115) =    28.90
  offset( 116) =   -22.43
  offset( 117) =    15.39
  offset( 118) =     8.35
  offset( 119) = -9999.99
  offset( 120) =    23.88
  offset( 121) =    -8.30
  offset( 122) = -9999.99
  offset( 123) =   -22.34
  offset( 124) =    -3.13
  offset( 125) = -9999.99
  offset( 126) = -9999.99
  offset( 127) = -9999.99
  offset( 128) =    -5.53
  offset( 129) =    13.01
  offset( 130) =     4.93
  offset( 131) =    -6.37
  offset( 132) =   -14.43
  offset( 133) =    -1.17
  offset( 134) =   -13.50
  offset( 135) =   -29.29
  offset( 136) =     0.38
  offset( 137) =    -2.31
  offset( 138) =    17.01
  offset( 139) =   -28.94
  offset( 140) =    -9.94
  offset( 141) =    10.87
  offset( 142) =    -6.41
  offset( 143) =   -10.41
  offset( 144) =    13.21
  offset( 145) =    10.55
  offset( 146) =   -16.63
  offset( 147) =    -9.88
  offset( 148) =     3.68
  offset( 149) =   -13.44
  offset( 150) =    16.01
  offset( 151) =    -0.66
  offset( 152) =    -4.78
  offset( 153) =     3.88
  offset( 154) =     1.75
  offset( 155) =    14.87
  offset( 156) =    14.93
  offset( 157) = -9999.99
  offset( 158) =    -1.27
  offset( 159) =    11.46
  offset( 160) =     1.60
  offset( 161) =   -17.07
  offset( 162) = -9999.99
  offset( 163) =    -7.67
  offset( 164) =     6.72
  offset( 165) =   -14.06
  offset( 166) =   -13.29
  offset( 167) =    -5.26
  offset( 168) =     9.71
  offset( 169) =   -26.00
  offset( 170) =   -13.22
  offset( 171) =     1.65
  offset( 172) =   -16.16
  offset( 173) =    16.84
  offset( 174) =    10.04
  offset( 175) =   -13.46
  offset( 176) =   -11.55
  offset( 177) =    18.48
  offset( 178) =    26.41
  offset( 179) =    26.68
  offset( 180) =    52.12
  offset( 181) =    -4.79
  offset( 182) =    15.28
  offset( 183) =     7.10
  offset( 184) =    14.26
  offset( 185) =    12.19
  offset( 186) =   -11.96
  offset( 187) =    12.95
  offset( 188) =   -14.44
  offset( 189) =    -0.90
  offset( 190) =     8.53
  offset( 191) =     5.83
  offset( 192) =    14.00
  offset( 193) =    23.65
  offset( 194) =    45.50
  offset( 195) =    19.86
  offset( 196) =    20.01
  offset( 197) =    -5.01
  offset( 198) =    10.92
  offset( 199) =    10.42
  offset( 200) =   -23.83
  offset( 201) =    -8.06
  offset( 202) =    -1.51
  offset( 203) =    11.88
  offset( 204) =   -17.23
  offset( 205) =    22.72
  offset( 206) =   -15.70
  offset( 207) =    69.14
  offset( 208) =   -31.45
  offset( 209) =     4.00
  offset( 210) =     3.27
  offset( 211) =    -1.41
  offset( 212) =    17.72
  offset( 213) =    -9.82
  offset( 214) =    42.36
  offset( 215) =   -14.39
  offset( 216) =   -10.26
  offset( 217) =    16.12
  offset( 218) =   -13.05
  offset( 219) =    32.84
  offset( 220) =     8.33
  offset( 221) =   -31.72
  offset( 222) =    -6.97
  offset( 223) =     9.41
  offset( 224) =    13.02
  offset( 225) =    -4.24
  offset( 226) =     2.84
  offset( 227) =    19.86
  offset( 228) =     1.54
  offset( 229) =    -1.36
  offset( 230) =    -4.71
  offset( 231) =    -8.29
  offset( 232) =    17.26
  offset( 233) =   -27.21
  offset( 234) =     0.91
  offset( 235) =     0.88
  offset( 236) =    25.52
  offset( 237) =    -5.75
  offset( 238) =   -14.34
  offset( 239) =    -1.61
  offset( 240) =     3.03
  offset( 241) =    16.54
  offset( 242) =    -5.54
  offset( 243) =   -18.73
  offset( 244) =     9.95
  offset( 245) =     7.49
  offset( 246) =     6.72
  offset( 247) =   -10.82
  offset( 248) =    22.57
  offset( 249) =    25.84
  offset( 250) =    24.76
  offset( 251) =     9.43
  offset( 252) =    -5.13
  offset( 253) =     6.02
  offset( 254) =     1.75
  offset( 255) =    38.44
  offset( 256) = -9999.99
  offset( 257) =     4.87
  offset( 258) = -9999.99
  offset( 259) =   -22.56
  offset( 260) =     8.35
  offset( 261) =     3.63
  offset( 262) =   -21.39
  offset( 263) =    17.77
  offset( 264) =    -5.50
  offset( 265) =    -3.87
  offset( 266) =     1.14
  offset( 267) =    -1.08
  offset( 268) =     9.44
  offset( 269) =     1.99
  offset( 270) =    -5.29
  offset( 271) =    12.22
  offset( 272) =     4.93
  offset( 273) =    -0.64
  offset( 274) =    22.92
  offset( 275) =   -18.21
  offset( 276) =     0.20
  offset( 277) =    -6.97
  offset( 278) =    14.96
  offset( 279) =    14.56
  offset( 280) =    14.59
  offset( 281) =    -5.61
  offset( 282) =    15.35
  offset( 283) =   -22.48
  offset( 284) =     9.83
  offset( 285) =    19.83
  offset( 286) =   -20.28
  offset( 287) =    27.19
  offset( 288) =    11.79
  offset( 289) =    -8.16
  offset( 290) =    81.33
  offset( 291) =    -4.18
  offset( 292) =   -12.11
  offset( 293) =    -1.70
  offset( 294) =   -12.91
  offset( 295) =     1.57
  offset( 296) =   -12.75
  offset( 297) =   -23.81
  offset( 298) =     4.09
... 227 more lines ...
  524   Wed Jan 31 15:27:07 2024 TDPhotos of water flow & dew point sensor interlock box
Attachment 1 - 4 socket input connectors ( from sensor to interlock box )

Attachment 2 & 2 - 24V dc relays and internal wiring

Attachment 4 - 3 socket input connector ( from interlock box to USB-controlled ac mains relay )
Attachment 1: 20240131_160205.jpg
20240131_160205.jpg
Attachment 2: 20240131_145249.jpg
20240131_145249.jpg
Attachment 3: 20240131_145340.jpg
20240131_145340.jpg
Attachment 4: 20240131_160341.jpg
20240131_160341.jpg
  523   Mon Nov 13 11:46:54 2023 TDSummary of AIDA 24cm x 8cm 'triple' DSSSD tests
Attachment 1: Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf
Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf Summary_of_AIDA_tests_2022-3_-_Dec_2023.pdf
Attachment 2: Summary_of_AIDA_tests_2022-3_-_Dec_2023.pptx
  522   Thu Oct 12 14:01:43 2023 TDMSL type BB18 24cm x 8cm DSSSD test - update
DSSSD MSL type BB18 24cm x 8cm 3208-3/3208-21/3208-22

FEE64 configuration see https://elog.ph.ed.ac.uk/AIDA/872 attachment 2

Bias -150V -6.590uA ambient temperature +24.7 deg C d.p. +13.7 deg C RH 50.3%

BNC PB-5
amplitude 10.0V
attenuation x10
decay time 1ms
tail pulse
frequency 25Hz

PB-5 output direct to p+n junction side FEE64 aida01 or aida12, or n+n Ohmic side FEE64 aida02


aida01 1.8.L pulser peak width  61 ch FWHM ~  46keV FWHM => 5s threshold 98keV 
aida12 1.8.L pulser peak width  56 ch FWHM ~  42keV FWHM => 5s threshold 89keV
aida02 1.8.L pulser peak width 102 ch FWHM ~  77keV FWHM => 5s threshold 163keV


slow comparator  0xa 100keV ( all p+n junction FEE64s ) 
                 0xf 150keV ( all n+n Ohmic FEE64s )

per FEE64 Rate spectra - attachment 1
 p+n FEE64s ( aida010, aida01, aida09, aida12, aida03, aida11 ) rates dominated by hot channels, other channels typically <1Hz ( 25Hz pulser to aida12 )
 n+n FEE64s ( aida02, aida04 ) rates ~ 10-20Hz/channel
 Note aida06 and aida08 are not connected to anything and should be ignored


ADC data item stats - attachment 2


For further information see https://elog.ph.ed.ac.uk/AIDA/906 and https://elog.ph.ed.ac.uk/AIDA/907


To Do

- repair/replace Honeywell HSS-DPS dew point sensor
   USB-controlled ac mains relay interlock currently overrriden
   do NOT operate AIDA unattended

- aida04 asic #1 u/s - replace ASIC mezzanine

- electrically isolated test signal distribution box req'd

- aida10 asic #4
   v. high rates observed and large signal transients 
   cause unclear ... ASIC/adaptor PCB/cabling/Si wafer ?

- extended background alpha run to check all DSSSD bond wires
   pulser OFF
   slow comparator 0x64

- bPlas + 2x triple DSSSD + bPlas stack assembly and test

- all up, in beam test
Attachment 1: Screenshot_from_2023-10-12_15-13-30.png
Screenshot_from_2023-10-12_15-13-30.png
Attachment 2: Screenshot_from_2023-10-12_15-17-05.png
Screenshot_from_2023-10-12_15-17-05.png
  521   Sun Oct 1 11:47:07 2023 TDS4 cooling water
The photographs show the cooling water controls and temperature/pressure gauges outside S4 and the connections used by AIDA within S4.
Attachment 1: 1000007356.jpg
1000007356.jpg
Attachment 2: 1000007355.jpg
1000007355.jpg
  520   Thu Aug 31 15:24:56 2023 NHNew AIDA MBS PC
The AIDA MBS FDR will be x86l-119 from now on, not x86l-94

the MBS relay and startup scripts will be changed for this
  519   Mon Aug 28 12:47:56 2023 NHPower Failure 24.08.2023
There was a power failure in the morning of 24.08.2023 in the Rhein-Main area affecting GSI

The Aida workstation (aida-3) has been restarted, it is unknown if the Pis in S4 rebooted as well (there is a UPS)

29.8.23 TD Both RPi systems rebooted four days ago.
  518   Sun Jul 16 09:32:57 2023 TDFirefox browser proxy setting change
Firefox browser proxy setting changed to 'Auto-detect proxy settings for this network' ( Firefox -> Edit -> Settings -> Network Settings -> Settings )
  517   Wed Jan 18 13:40:33 2023 PJCS TDMACB settings with either Emulator or VITAR

When using the VETAR connected to the HDMI port of the root MACB the settings for all the MACB in the system 0x3.

This setting takes the Clock and Data line from the input HDMI and outputs it via teh HDMI output ports.

When using the Emulator connected via the SMA connectors on the back of the root MACB then the setting for the root MACB should be 0xD and all others should be 0x3.

Attached is the .jed file for programming the MACB and the .vhd source file to help with understanding of the settings.

Attachment 1: macb_apr20.jed
Attachment 2: macb_apr20.vhd
----------------------------------------------------------------------------------
-- Company: 
-- Engineer: 
-- 
-- Create Date:    17:03:27 03/16/2011 
-- Design Name: 
-- Module Name:    macb_top - Behavioral 
-- Project Name: 
-- Target Devices: 
-- Tool versions: 
-- Description: 
--
-- Dependencies: 
--
-- Revision: 
-- Revision 0.01 - File Created
-- Additional Comments: 
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
-- NOTE all in/out notations are relative to this unit
entity macb_apr20 is
    Port ( 
			  port1_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port2_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port3_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  port4_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  layer_sp : inout  STD_LOGIC_VECTOR (3 downto 0);
			  layer_trigger : out std_logic ;
           sync_return : in  STD_LOGIC_VECTOR (3 downto 1);
           selector : in  STD_LOGIC_VECTOR (3 downto 0);
           sync_select : out  STD_LOGIC_vector(1 downto 0 );
           clock200_select : out  STD_LOGIC_vector( 1 downto 0 ) ;
			  butis_divide_reset : out std_logic ;
			  butis_divide_s : out std_logic_vector( 2 downto 0 ) ;
			  clock_5 : in std_logic ;
			  sync_5 : in std_logic ;
			  trigger : in std_logic_vector( 3 downto 0 ) ;
           MBS_in : in  STD_LOGIC_VECTOR (3 downto 0);
           MBS_out : out  STD_LOGIC_VECTOR (3 downto 0));
end macb_apr20;

architecture Behavioral of macb_apr20 is
signal port1_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port2_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port3_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port4_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal layer_spi :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_spo :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_t :  STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal seli : integer range 0 to 15 := 0  ;
-- well really
signal MBS_in_n : std_logic_vector( 3 downto 0 ) := "0000" ;
begin
MBS_in_n <= ( not MBS_in);
seli <= conv_integer(not selector) ;
-- MBS signal allocations to sp lines and HDMI pin. This maps to NIM connections
-- 0 :	MBS_clock10 	SP0	13
-- 1 :	MBS_reset		SP1	14
-- 2 :	MBS_reset_rq	SP2	15
-- 3 :	MBS_Trigger		SP3	16
layer_trigger <= trigger(0) or trigger(1) or trigger(2) or trigger(3) ;

-- divider controls set for pass-through
butis_divide_reset <= '1' ; -- for now don't reset ;

process ( seli , MBS_in_n, port1_spi, port2_spi, port3_spi, port4_spi, layer_spi, sync_return ,sync_5  )
-- note : & => concatenate
begin
	case seli is 
	when 0 => --- Master/ Root / MBS / Internal clock
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "00" ; -- select internal 200 MHz oscillator
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
		
	when 1 => --- Master/ Root / MBS / BuTiS clock and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 2 => --- Master/ Branch / MBS / Next layer clock next layer SYNC
		port1_spo <= layer_spi(3) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 3 => --- Slave / Branch / MBS / Next layer clock and sync
		port1_spo <= layer_spi(3) & '0'  & layer_spi(1) & layer_spi(0);
		port1_t <= "0100" ; -- drive clock, reset, trigger only 
		port2_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & '0' & '0' ; -- drive nothing
		layer_t <= "1111" ; -- just drive nothing down
		sync_select <= "10" ; -- select sync from next layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi ; -- map all the signals for monitoring ?
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
		
	when 4 => --- Master/ Root / MBS / BuTiS clock / Internal SYNC / External timestamp reset
		port1_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port1_t <= "0100" ; -- drive clock, reset, trigger only
		port2_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & MBS_in_n(1)  & '0' ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external 50 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & MBS_in_n(1) & sync_5 ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 5 => --- Master/ Root / MBS / External 50Mhz clock / Internal Sync
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external SMA input 
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass through.
			
	when 6 => --- Master/ Root / MBS / External 100Mhz clock / Internal Sync
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "01" ; -- select external SMA input 
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "100" ; -- s2 is 1 for external, 00 for /2.
		
	when 7 => --- Fast NIM input for each FEE / Next layer clock next layer SYNC
		port1_spo <= MBS_in_n(0) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(1) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(2) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 8 => --- Fast NIM input from Input 3 for each FEE / Next layer clock next layer SYNC
		port1_spo <= MBS_in_n(3) & layer_spi(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <=  '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
		layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
		sync_select <= "10" ; -- select sync from next_layer 
		clock200_select <= "10" ; -- select clock from next layer
		MBS_out <=  layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,
	
	when 9 => --- Master/ Root / Internal clock / sync_returns to NIM
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "00" ; -- select sync from port 1 
		clock200_select <= "00" ; -- select internal 200 MHz oscillator
		MBS_out <=  sync_return(3) & sync_return(2) & sync_return(1) & '0' ;
		butis_divide_s <= "000" ; -- s2 is 0 for pass,

	when 10 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= "0000" ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n ; -- for testing NIM I/O
		butis_divide_s <= "100" ; --  s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16

	when 12 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "100" ; --  s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16

	when 13 => --- Master/ Root / MBS / BuTiS clock /4 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port3_t <= "0100" ; -- drive clock, reset, trigger only
		port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port4_t <= "0100" ; -- drive clock, reset, trigger only
		layer_spo <= ( others => '0' ) ;
		layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
		sync_select <= "01" ; -- select sync from external using SMA input 
		clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
		MBS_out <=  MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
		butis_divide_s <= "101" ; --  s2 = 1 and s1,s0 decode to  01=>/4
		
	when 14 => --- Master/ Root / MBS / BuTiS clock /8 and SYNC
		port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
		port1_t <= "0011" ; -- drive trigger and reset request only
		port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
		port2_t <= "0100" ; -- drive clock, reset, trigger only 
		port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
... 161 more lines ...
Attachment 3: zybo.jpg
zybo.jpg
Attachment 4: MACB.jpg
MACB.jpg
  516   Fri Dec 16 14:02:12 2022 NHAIDA System off for christmas break
The AIDA NIM crate, pis and workstation have been powered off for the Christmas break and will not be accessible
  515   Thu Oct 6 16:51:49 2022 NHOscilloscope analysis
Investigating AIDA noise with a TA041 differential probe and oscilloscope 

AC Mains (DESPEC platform AC, L-N)
Probe attentuation = 1:100

Fig 1: Main AC waveform [X: 5ms/div, Y: 100 V/div]
Fig 2: Zoomed in at peak (20 V FSR, any less and the waveform clipped) [X: 10us/div, Y: 20V/div]
Fig 3: Longer time base and FFT of 0-5 MHz. No significant frequency harmonics noticed [X: 5ms/div, Y:20 V, FFT X: 500 kHz/div, Y: 10 dBm/div]

No significant noise or distortion present, fully within any AC specification. 
Note that at the moment there is almost no load on AC
Equipment on on DESPEC rack: AIDA NIM, AIDA Raspberry Pis, bPlas PC (+ WR) + 2x DESPEC NIM crates
 No autofill, VME crate or detectors
All big machines at GSI (SIS, FRS) off (suspect pumps are on)

Ion catcher not on (I think under repair)

-

FEE PSU studies
Probe connected to 5V exposed power pin on FEE64 (+v) and to grounding crimp on FEE64 (-v)
No adapter board connected
Attentuation = 1:10


Fig 4: FFT when FEEs are *off* - essentially probe+scope noise [X: 5ms/div, Y: 100 mV/div, FFT: X: 500 kHz/div, Y: 10 dBm/div]
Fig 5: FFT when FEEs are *on* - notice 1.4 MHz peak in FFT, also seen on ADC waveform readout before (fig 6) [X: 5ms/div, Y: 100 mV/div, FFT: X: 500 kHz/div, Y: 10 dBm/div]

Fig 7: 500 ns/div 5V output on FEE, single FEE on the PSU [X: 500 ns/div, Y: 100 mV/div]

Note average max voltage is 5.31 V (power on) and ~ 70 mV "peak to peak" -might be from probe/scope?

Also see voltage changes with FEE power draw:

Power on  : 5.45 V (different scale to above)
SETUP ran : 5.51 V 
FADCs off : 5.86 V
ACQ Go:   : Unchanged; ASIC threshold 0xa: Unchanged

Also check situation on a fully loaded PSU (8 fees connected and powered on)

Power on:   5.29 V (fig 8)
SETUP ran:  5.36 V (fig 9)
FADCs off:  5.64 V (fig 10)

All X: 500 ns/div, Y: 100 mV/div

Both cases observe voltage rises as current draw drops (as expected for voltage drop along a cable)

Noise on 'scope seems to get slightly worse with reduced current (and higher voltage)

No sign of strong 100 kHz noise as seen in ADC traces beforehand

Todo:

- Check -6V and 7V rails
- Check 5V and noise when front-end card is added and pulser/HV connected
- Check between two FEE64 grounds 
- Check direct out of PSU vs ground to see if 1.4 MHz appears on PSU side or FEE64 side

-
11.10.22 Updates

Attachement 11 - 5V PSU on upper PSU with no FEEs attached whatsoever. No 1.4 MHz (on FFT) but clear low frequency beats from switching - presumably low/no load behaviour

Attachement 12 - 5V PSU on aida12 with 8 FEEs on PSU. Longer time base to allow lower frequencies in FFT. 1.4 MHz switching spikes visible but nothing around 100 kHz region

Attachments 13-16: 5V PSU on aida12 at 20 mV/div vertical and 1, 0.5, 2, 5 us/div horizontal respectively

12.10.22 Updates

Attachment 17: -6V PSU on aida12 with 8 FEEs on PSU. 2 us/div timebase. 20 mV/div amplitude
Attachment 18: 10 ms timebase and FFT
Average voltage: -6.21 V

Attachment 19: 7V PSU on aida12 with 8 FEEs on PSU. 2 us/div timebase. 20 mV/div amplitude
Attachment 18: 10 ms timebase and FFT
Average voltage: 7.46V

Measurement between AIDA12 ground and Reference ground/copper bar
+ve (red probe) attached to copper bar at ground point (not strong connection at present)
-ve (black probe) attached to ground crimp on aida12 (connected to cooling plate)
aida12 no adapter board connected: connections are PSU, Ethernet, HDMI and TTY only

Attachment 21: 5 us/div 100 mv/div waveform, big oscillations present. Not seen before FEEs turned on (8 FEES, 1-7+12)
Attachment 22: 10 ms/div for FFT, sharp peak at exactly 100 kHz observed...


Attachment 23: Between 5V PSU (+ve) and 19" rack (-ve) with  no FEEs connected to PSU
 See strong 100 kHz oscillations too, note that voltage isn't 5V as PSU is floating w.r.t. ground
 Looks to be common mode noise (on both 5V and Return of PSU)

Attachment 24: Same as 21 but using thick crocodile clips on probe to ground and aida12. Noise is attenuated but still present
Attachment 1: SCRN0086.PNG
SCRN0086.PNG
Attachment 2: SCRN0090.jpg
SCRN0090.jpg
Attachment 3: SCRN0113.jpg
SCRN0113.jpg
Attachment 4: SCRN0107.jpg
SCRN0107.jpg
Attachment 5: SCRN0108.jpg
SCRN0108.jpg
Attachment 6: Image_Pasted_at_2022-10-6_15-51.jpg
Image_Pasted_at_2022-10-6_15-51.jpg
Attachment 7: SCRN0098.jpg
SCRN0098.jpg
Attachment 8: SCRN0109.jpg
SCRN0109.jpg
Attachment 9: SCRN0110.jpg
SCRN0110.jpg
Attachment 10: SCRN0111.jpg
SCRN0111.jpg
Attachment 11: SCRN0115.PNG
SCRN0115.PNG
Attachment 12: SCRN0121.PNG
SCRN0121.PNG
Attachment 13: SCRN0124.PNG
SCRN0124.PNG
Attachment 14: SCRN0125.PNG
SCRN0125.PNG
Attachment 15: SCRN0126.PNG
SCRN0126.PNG
Attachment 16: SCRN0127.PNG
SCRN0127.PNG
Attachment 17: SCRN0132.PNG
SCRN0132.PNG
Attachment 18: SCRN0129.PNG
SCRN0129.PNG
Attachment 19: SCRN0139.PNG
SCRN0139.PNG
Attachment 20: SCRN0136.PNG
SCRN0136.PNG
Attachment 21: SCRN0141.PNG
SCRN0141.PNG
Attachment 22: SCRN0143.PNG
SCRN0143.PNG
Attachment 23: SCRN0149.PNG
SCRN0149.PNG
Attachment 24: SCRN0153.PNG
SCRN0153.PNG
  514   Wed Sep 14 19:07:07 2022 PJCSINFO : Three Merger Statistics explained

There are three Merger statistics that can be used to better understand how the data flow through the Merger system is proceeding.

Two are from the Link task and one is from the Merger.They are all "No data buffers avaliable" with #1, #2, #3 at the end.

#1: This is incremented when the Link task has a data item to put in the queue for the Merge process but there is no room.

#2: This is incremented when the Link task has found no room in the queue for the Merge process ( #1 ) , waited , tried again and failed. 

#3: This the other end of the queue. When the Merge task requests a data item from a Link task queue and there is nothing available.

 

  513   Thu Sep 8 12:37:18 2022 NHProxy Port Changed
The proxy in Firefox, Yum and AnyDesk has been changed as the old wasn't working

proxy.gsi.de port 3128 is now in use
  512   Thu Sep 8 12:31:25 2022 NHRetrying AIDA DataAcq v10
Startup AIDA with ribbon cable connected to aida03 and aida07 for noise

Setup and run with waveforms enabled. Discriminators ADC power etc as default

Try to push above 200k as this is where we saw issues before... lowering threshold to 0x3 pushes rates to

aida03 - 320k
aida07 - 254k

Startup merger and observe rates

aida03 - 224k
aida07 - 213k

Rate drop observed as before.

Now update aidacommon to point to AidaExecV10 and powercycle FEEs

Rates again with 0x3 

aida03 - 315k
aida07 - 252k

Restart with data transfer ON

aida03 - 317k
aida07 - 262k

No errors in merger terminal or "Merge time errors" statistic

Will keep running 
Attachment 1: AnyDeskMSI_2022-09-08_13-35-50.png
AnyDeskMSI_2022-09-08_13-35-50.png
Attachment 2: AnyDeskMSI_2022-09-08_13-35-58.png
AnyDeskMSI_2022-09-08_13-35-58.png
Attachment 3: AnyDeskMSI_2022-09-08_13-36-02.png
AnyDeskMSI_2022-09-08_13-36-02.png
  511   Tue Aug 30 13:48:32 2022 NHAIDA Single Switch Configuration
The second switch was moved back to CARME so AIDA has been configured back to using a single switch

aida02/aida04/aida06/aida08 updated back to first switch as per https://elog.ph.ed.ac.uk/DESPEC/433

Additionally a ribbon cable is attached to aida01 and aida05 to introduce some noise into the system
  510   Tue Jul 5 08:53:20 2022 TDTo Do
In no particular order

1) CAEN 83xx series NIM bin (Ortec 533A output noise issue)

   observe +/- 6V, 12V, 24V lines with/without load 

   try new CAEN NIM bin and/or NIM bin of different type

2) Measure actual voltages at FEE64 power connector input

   OH suggests fab of power adaptor for safe observation - contact EW

3) rev B adaptor PCB

   invert 125 way ERNI - check for mech conflicts
   paired HV input (avoid Lemo-00 T pieces)
   consider isolating test/HV Lemo-00 shells from PCB ground (loop elimination)
   straight jumpers
   shrouded Samtec headers - consider mech issues/consequences of using eject clips too
   re-visit HV filtering & separate trace ground

4) isolation transformer

   as practical matter may be necessary to operate all platform from isolation transformer
   consider hire of appropriate unit

   need method to measure isolation - will require permit to work or equiv

5) investigate S4 area ac mains

   NH discussing with GSI electricians

6) Systematic measurement of AIDA PSU noise

   Spec linear AIDA FEE64 PSU

7) Redesign of snout
   Return to 1mm welded box Al for lower stage of snout  for added rigidity

8) Revisit calculation of cable lengths. Particularly for the triple

9) 



   
ELOG V3.1.4-unknown