ID |
Date |
Author |
Subject |
660
|
Mon Mar 10 15:47:27 2025 |
TD | Analysis data files G22-201 R79_10 & R79_24 |
Analysis of data files G22-201 R79_10 and R79_24 - attachments 1-2
Observe HIGH deadtime for FEE64 aida11 (attachment 1) and aida16 (attachment 2) associated with *very* high pause/resume/HEC/disc data item rates.
R79_10
deadtime aida11 99.4%
pause/resume ~ 720Hz
HEC ~ 2800Hz
disc ~ 250kHz
R79_24
deadtime aida16 18.7%
pause/resume ~ 140hZ
HEC ~ 540Hx
disc ~ 50kHz |
Attachment 1: R79_10.txt
|
*** TDR format 3.3.0 analyser - TD - May 2021
*** ERROR: READ I/O error: 5002
blocks: 32000
ADC data format: 28979939 ( 32250.3 Hz)
Other data format: 232940065 ( 259227.5 Hz)
Sample trace data format: 0 ( 0.0 Hz)
Undefined format: 0 ( 0.0 Hz)
Other data format type: PAUSE: 647219 ( 720.3 Hz)
RESUME: 647218 ( 720.3 Hz)
SYNC100: 35348 ( 39.3 Hz)
WR48-63: 35348 ( 39.3 Hz)
FEE64 disc: 231574932 ( 257708.4 Hz)
MBS info: 0 ( 0.0 Hz)
Other info: 0 ( 0.0 Hz)
ADC data range bit set: 2508895 ( 2792.0 Hz)
Timewarps: ADC: 0 ( 0.0 Hz)
PAUSE: 0 ( 0.0 Hz)
RESUME: 0 ( 0.0 Hz)
SYNC100: 0 ( 0.0 Hz)
WR48-63: 0 ( 0.0 Hz)
FEE64 disc: 0 ( 0.0 Hz)
MBS info: 0 ( 0.0 Hz)
Undefined: 0 ( 0.0 Hz)
Sample trace: 0 ( 0.0 Hz)
*** Timestamp elapsed time: 898.593 s
FEE elapsed dead time(s) elapsed idle time(s)
0 0.000 0.000
1 0.000 0.000
2 0.000 0.000
3 0.000 0.000
4 0.000 0.000
5 0.000 0.000
6 0.000 0.000
7 0.000 0.000
8 0.000 0.000
9 0.000 0.000
10 892.873 0.000
11 0.000 0.000
12 0.000 0.000
13 0.000 0.000
14 0.137 0.000
15 0.000 0.000
16 0.000 0.000
17 0.000 0.000
18 0.000 0.000
19 0.000 0.000
20 0.000 0.000
21 0.000 0.000
22 0.000 0.000
23 0.000 0.000
24 0.000 0.000
25 0.000 0.000
26 0.000 0.000
27 0.000 0.000
28 0.000 0.000
29 0.000 0.000
30 0.000 0.000
31 0.000 0.000
32 0.000 0.000
*** Statistics
FEE ADC Data Other Data Sample Undefined Pause Resume SYNC100 WR48-63 Disc MBS Other HEC Data
0 1104087 558 0 0 0 0 228 228 102 0 0 39
1 1368 19 0 0 0 0 0 0 19 0 0 11
2 703 0 0 0 0 0 0 0 0 0 0 0
3 1210701 1170 0 0 0 0 258 258 654 0 0 86
4 103506 34 0 0 0 0 17 17 0 0 0 0
5 3399 2 0 0 0 0 1 1 0 0 0 0
6 5236 395 0 0 0 0 1 1 393 0 0 218
7 52921 16 0 0 0 0 8 8 0 0 0 0
8 43890 53 0 0 0 0 13 13 27 0 0 22
9 6906 1900 0 0 0 0 2 2 1896 0 0 193
10 2506650 226682792 0 0 647212 647211 28069 28069 225332231 0 0 2506358
11 48924 27 0 0 0 0 11 11 5 0 0 0
12 189100 607 0 0 0 0 40 40 527 0 0 88
13 163612 80 0 0 0 0 26 26 28 0 0 15
14 19931577 6246424 0 0 7 7 5816 5816 6234778 0 0 135
15 3607359 5988 0 0 0 0 858 858 4272 0 0 1730
16 0 0 0 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0 0 0 0
*** Timewarps
FEE ADC Pause Resume SYNC100 WR48-63 Disc MBS Undefined Samples
0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0
2 0 0 0 0 0 0 0 0 0
3 0 0 0 0 0 0 0 0 0
4 0 0 0 0 0 0 0 0 0
5 0 0 0 0 0 0 0 0 0
6 0 0 0 0 0 0 0 0 0
7 0 0 0 0 0 0 0 0 0
8 0 0 0 0 0 0 0 0 0
9 0 0 0 0 0 0 0 0 0
10 0 0 0 0 0 0 0 0 0
11 0 0 0 0 0 0 0 0 0
12 0 0 0 0 0 0 0 0 0
13 0 0 0 0 0 0 0 0 0
14 0 0 0 0 0 0 0 0 0
15 0 0 0 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0
*** Program elapsed time: 24.215s ( 1321.503 blocks/s, 82.594 Mb/s)
|
Attachment 2: R79_24.txt
|
*** TDR format 3.3.0 analyser - TD - May 2021
*** ERROR: READ I/O error: 5002
blocks: 32000
ADC data format: 83751406 ( 26778.5 Hz)
Other data format: 178168596 ( 56967.3 Hz)
Sample trace data format: 0 ( 0.0 Hz)
Undefined format: 0 ( 0.0 Hz)
Other data format type: PAUSE: 448350 ( 143.4 Hz)
RESUME: 448350 ( 143.4 Hz)
SYNC100: 43650 ( 14.0 Hz)
WR48-63: 43650 ( 14.0 Hz)
FEE64 disc: 177184596 ( 56652.6 Hz)
MBS info: 0 ( 0.0 Hz)
Other info: 0 ( 0.0 Hz)
ADC data range bit set: 1682572 ( 538.0 Hz)
Timewarps: ADC: 0 ( 0.0 Hz)
PAUSE: 0 ( 0.0 Hz)
RESUME: 0 ( 0.0 Hz)
SYNC100: 0 ( 0.0 Hz)
WR48-63: 0 ( 0.0 Hz)
FEE64 disc: 0 ( 0.0 Hz)
MBS info: 0 ( 0.0 Hz)
Undefined: 0 ( 0.0 Hz)
Sample trace: 0 ( 0.0 Hz)
*** Timestamp elapsed time: 3127.561 s
FEE elapsed dead time(s) elapsed idle time(s)
0 0.000 0.000
1 0.000 0.000
2 0.000 0.000
3 0.000 0.000
4 0.000 0.000
5 0.000 0.000
6 0.000 0.000
7 0.000 0.000
8 0.000 0.000
9 0.000 0.000
10 0.000 0.000
11 0.000 0.000
12 0.000 0.000
13 0.000 0.000
14 0.620 0.000
15 586.109 0.000
16 0.000 0.000
17 0.000 0.000
18 0.000 0.000
19 0.000 0.000
20 0.000 0.000
21 0.000 0.000
22 0.000 0.000
23 0.000 0.000
24 0.000 0.000
25 0.000 0.000
26 0.000 0.000
27 0.000 0.000
28 0.000 0.000
29 0.000 0.000
30 0.000 0.000
31 0.000 0.000
32 0.000 0.000
*** Statistics
FEE ADC Data Other Data Sample Undefined Pause Resume SYNC100 WR48-63 Disc MBS Other HEC Data
0 3119762 1529 0 0 0 0 757 757 15 0 0 7
1 4282 4 0 0 0 0 2 2 0 0 0 0
2 2544 2 0 0 0 0 1 1 0 0 0 0
3 3390513 2086 0 0 0 0 934 934 218 0 0 22
4 360107 196 0 0 0 0 98 98 0 0 0 0
5 10127 8 0 0 0 0 4 4 0 0 0 0
6 12521 270 0 0 0 0 5 5 260 0 0 193
7 202583 64 0 0 0 0 32 32 0 0 0 0
8 121120 81 0 0 0 0 38 38 5 0 0 2
9 19612 4370 0 0 0 0 7 7 4356 0 0 411
10 4704 6 0 0 0 0 3 3 0 0 0 0
11 134007 68 0 0 0 0 34 34 0 0 0 0
12 605075 1275 0 0 0 0 139 139 997 0 0 159
13 1179710 370 0 0 0 0 170 170 30 0 0 7
14 58524563 21407125 0 0 41 41 18434 18434 21370175 0 0 71
15 16060176 156751142 0 0 448309 448309 22992 22992 155808540 0 0 1681700
16 0 0 0 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0 0 0 0
*** Timewarps
FEE ADC Pause Resume SYNC100 WR48-63 Disc MBS Undefined Samples
0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0
2 0 0 0 0 0 0 0 0 0
3 0 0 0 0 0 0 0 0 0
4 0 0 0 0 0 0 0 0 0
5 0 0 0 0 0 0 0 0 0
6 0 0 0 0 0 0 0 0 0
7 0 0 0 0 0 0 0 0 0
8 0 0 0 0 0 0 0 0 0
9 0 0 0 0 0 0 0 0 0
10 0 0 0 0 0 0 0 0 0
11 0 0 0 0 0 0 0 0 0
12 0 0 0 0 0 0 0 0 0
13 0 0 0 0 0 0 0 0 0
14 0 0 0 0 0 0 0 0 0
15 0 0 0 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0
*** Program elapsed time: 23.742s ( 1347.812 blocks/s, 84.238 Mb/s)
|
659
|
Tue Jul 2 17:43:32 2024 |
TD | Offline analysis G22-201/R89 |
Offline analysis data file G22-201/R89_0 to R89_13 (c. 6h40m data)
DSSSD#1 & 3 36.54mm -> ~ 2.3-8.6 deg
DSSSD#2 & 4 64.60mm -> ~ 4.1-10.4 deg
Beam ~1.06MeV/u 15N5+
Sort program variables https://elog.ph.ed.ac.uk/CARME/240530_180659/variables.dat
ADC data corrected for ADC offset but not gain (assume 0.7keV/LSB)
per DSSSD m_p verus m_n - attachments 1-2
For spectra below events must satisfy condition 0 < m_p < 4 *and* 0 < m_n < 4
gated w(1)=50 & w(2)=-50
20keV/channel nominal
per DSSSD p+n junction strip versus n+n Ohmic strip - attachment 3
note - x/y channel ordering to be checked
per DSSSD E_p versus E_n - attachments 4 & 6
check whether vertical structures (correct E_n but low E_p) are associated with specific strips or edge of DSSSD?
per DSSSD E_p versus E_n - attachment 5 & 7
expanded view high energy events
DSSSD #1 E_p + DSSSD#4 E_p - attachment 8
DSSSD #2 E_p + DSSSD#3 E_p - attachment 8
DSSSD #1 E_p versus DSSSD#4 E_p - attachment 9
DSSSD #2 E_p versus DSSSD#3 E_p - attachment 9
note clusters of events at asymmetric energies but only for one combination of detectors - random events?
______________________________________________________________________________________________________________________________
Reaction: 1H(15N,4He)12C
A: 1 15 4 12
Z: 1 7 2 6
Mass(amu): 1.007825 15.000109 4.002603 12.000000
Error(keV): 0.000 0.001 0.001 0.000
Origin:
Status:
Q(gs): 4.9655 +/- 0.0001 MeV
Projectile lab energy: 15.900 MeV
CM energy: 1.001 MeV
Excitation energy: 0.000 MeV
Reaction Q-value: 4.965 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 NaN 16.372 0.0934 0.357 NaN 0.00 NaN 4.494 0.0283 1.177 NaN NaN NaN NaN NaN
1.00 1.91 16.367 0.0934 0.357 0.2729 1.10 178.09 4.498 0.0284 1.176 -0.3314 0.2729 -0.3314 -0.8233 -3.0175
2.00 3.83 16.354 0.0934 0.357 0.2730 2.20 176.17 4.512 0.0284 1.174 -0.3290 0.2730 -0.3290 -0.8296 -3.0393
3.00 5.74 16.331 0.0933 0.358 0.2732 3.29 174.26 4.535 0.0285 1.171 -0.3251 0.2732 -0.3251 -0.8403 -3.0759
4.00 7.66 16.299 0.0932 0.358 0.2735 4.37 172.34 4.566 0.0286 1.167 -0.3197 0.2735 -0.3197 -0.8553 -3.1277
5.00 9.57 16.258 0.0931 0.358 0.2739 5.43 170.43 4.607 0.0287 1.162 -0.3129 0.2738 -0.3130 -0.8750 -3.1954
6.00 11.49 16.208 0.0929 0.359 0.2743 6.47 168.51 4.657 0.0289 1.156 -0.3049 0.2743 -0.3049 -0.8996 -3.2796
7.00 13.40 16.150 0.0928 0.360 0.2749 7.49 166.60 4.716 0.0290 1.149 -0.2957 0.2749 -0.2957 -0.9294 -3.3815
8.00 15.31 16.082 0.0926 0.360 0.2755 8.48 164.69 4.784 0.0292 1.141 -0.2855 0.2755 -0.2855 -0.9648 -3.5023
9.00 17.23 16.006 0.0924 0.361 0.2762 9.45 162.77 4.860 0.0295 1.132 -0.2745 0.2762 -0.2745 -1.0063 -3.6434
10.00 19.14 15.921 0.0921 0.362 0.2770 10.38 160.86 4.945 0.0297 1.122 -0.2627 0.2770 -0.2627 -1.0545 -3.8067
11.00 21.05 15.827 0.0918 0.363 0.2779 11.27 158.95 5.039 0.0300 1.111 -0.2503 0.2779 -0.2503 -1.1100 -3.9944
12.00 22.96 15.725 0.0916 0.364 0.2789 12.13 157.04 5.141 0.0303 1.100 -0.2376 0.2789 -0.2376 -1.1738 -4.2091
13.00 24.87 15.614 0.0912 0.366 0.2800 12.96 155.13 5.251 0.0306 1.089 -0.2245 0.2800 -0.2245 -1.2469 -4.4539
14.00 26.78 15.496 0.0909 0.367 0.2812 13.74 153.22 5.370 0.0310 1.077 -0.2113 0.2811 -0.2113 -1.3305 -4.7326
15.00 28.69 15.369 0.0905 0.369 0.2824 14.49 151.31 5.496 0.0313 1.064 -0.1980 0.2824 -0.1980 -1.4260 -5.0496
______________________________________________________________________________________________________________________________
Options available:
(r)eaction e(x)citation energy (e)nergy (a)ngles (p)rint (q)uit
Enter option: x
Enter excitation energy (MeV): 4.44
Enter projectile lab energy (MeV): /
Enter lab scattering angles [start,stop,step] (deg): /
______________________________________________________________________________________________________________________________
Reaction: 1H(15N,4He)12C
A: 1 15 4 12
Z: 1 7 2 6
Mass(amu): 1.007825 15.000109 4.002603 12.000000
Error(keV): 0.000 0.001 0.001 0.000
Origin:
Status:
Q(gs): 4.9655 +/- 0.0001 MeV
Projectile lab energy: 15.900 MeV
CM energy: 1.001 MeV
Excitation energy: 4.440 MeV
Reaction Q-value: 0.525 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 0.00 9.002 0.0694 0.481 0.0000 0.00 180.00 7.423 0.0364 0.916 0.0000 0.0000 0.0000 NaN NaN
180.00 0.740 0.0199 1.675 0.0000 0.00 0.00 15.686 0.0529 0.630 0.0000 0.0000 0.0000 NaN NaN
1.00 2.81 8.997 0.0693 0.481 0.1270 0.64 177.19 7.428 0.0364 0.915 -0.0513 0.1270 -0.0513 -2.4762 *******
179.20 0.740 0.0199 1.674 1.5463 0.13 0.80 15.685 0.0529 0.630 0.0243 -1.5463 0.0243 ******* 41.1048
2.00 5.61 8.983 0.0693 0.481 0.1271 1.27 174.39 7.443 0.0365 0.915 -0.0509 0.1271 -0.0509 -2.4951 *******
178.39 0.741 0.0199 1.673 1.5414 0.25 1.61 15.684 0.0529 0.630 0.0243 -1.5414 0.0243 ******* 41.1102
3.00 8.42 8.958 0.0692 0.482 0.1271 1.90 171.58 7.468 0.0365 0.913 -0.0503 0.1271 -0.0503 -2.5269 *******
177.58 0.743 0.0200 1.671 1.5334 0.38 2.42 15.682 0.0529 0.630 0.0243 -1.5334 0.0243 ******* 41.1194
4.00 11.24 8.923 0.0691 0.483 0.1271 2.52 168.76 7.502 0.0366 0.911 -0.0494 0.1271 -0.0494 -2.5722 *******
176.77 0.746 0.0200 1.668 1.5221 0.50 3.23 15.679 0.0529 0.630 0.0243 -1.5221 0.0243 ******* 41.1323
5.00 14.05 8.879 0.0689 0.484 0.1272 3.13 165.95 7.547 0.0367 0.908 -0.0483 0.1272 -0.0483 -2.6319 *******
175.96 0.750 0.0201 1.663 1.5077 0.63 4.04 15.676 0.0529 0.631 0.0243 -1.5077 0.0243 ******* 41.1490
6.00 16.88 8.824 0.0687 0.486 0.1273 3.73 163.12 7.601 0.0369 0.905 -0.0470 0.1273 -0.0470 -2.7072 *******
175.13 0.754 0.0201 1.658 1.4901 0.76 4.87 15.671 0.0529 0.631 0.0243 -1.4901 0.0243 ******* 41.1697
7.00 19.71 8.760 0.0684 0.487 0.1273 4.32 160.29 7.665 0.0370 0.901 -0.0455 0.1273 -0.0455 -2.7995 *******
174.30 0.760 0.0202 1.652 1.4695 0.89 5.70 15.665 0.0529 0.631 0.0243 -1.4695 0.0243 ******* 41.1945
8.00 22.55 8.686 0.0681 0.490 0.1274 4.89 157.45 7.739 0.0372 0.897 -0.0438 0.1274 -0.0438 -2.9108 *******
173.46 0.766 0.0203 1.645 1.4458 1.02 6.54 15.659 0.0529 0.631 0.0243 -1.4458 0.0243 ******* 41.2236
9.00 25.41 8.603 0.0678 0.492 0.1275 5.44 154.59 7.823 0.0374 0.892 -0.0419 0.1275 -0.0419 -3.0436 *******
172.61 0.774 0.0204 1.637 1.4191 1.15 7.39 15.652 0.0529 0.631 0.0242 -1.4192 0.0242 ******* 41.2573
10.00 28.27 8.509 0.0674 0.495 0.1276 5.97 151.73 7.916 0.0376 0.887 -0.0399 0.1276 -0.0399 -3.2011 *******
171.75 0.782 0.0205 1.628 1.3896 1.28 8.25 15.643 0.0528 0.631 0.0242 -1.3896 0.0242 ******* 41.2958
11.00 31.15 8.407 0.0670 0.498 0.1277 6.48 148.85 8.019 0.0379 0.881 -0.0377 0.1277 -0.0377 -3.3875 *******
170.87 0.792 0.0206 1.619 1.3572 1.42 9.13 15.634 0.0528 0.631 0.0242 -1.3572 0.0242 ******* 41.3394
12.00 34.05 8.294 0.0666 0.501 0.1278 6.97 145.95 8.131 0.0381 0.875 -0.0354 0.1278 -0.0354 -3.6080 *******
169.97 0.803 0.0207 1.608 1.3221 1.56 10.03 15.623 0.0528 0.632 0.0242 -1.3221 0.0242 ******* 41.3886
13.00 36.96 8.172 0.0661 0.505 0.1279 7.43 143.04 8.253 0.0384 0.868 -0.0330 0.1279 -0.0330 -3.8695 *******
169.06 0.815 0.0209 1.596 1.2843 1.70 10.94 15.611 0.0528 0.632 0.0241 -1.2844 0.0241 ******* 41.4437
14.00 39.90 8.040 0.0656 0.509 0.1280 7.87 140.10 8.385 0.0387 0.862 -0.0306 0.1280 -0.0306 -4.1814 *******
168.13 0.828 0.0211 1.583 1.2440 1.84 11.87 15.598 0.0528 0.632 0.0241 -1.2441 0.0241 ******* 41.5053
15.00 42.86 7.899 0.0650 0.513 0.1280 8.28 137.14 8.526 0.0390 0.855 -0.0281 0.1280 -0.0281 -4.5560 *******
167.17 0.843 0.0213 1.569 1.2012 1.99 12.83 15.583 0.0527 0.632 0.0241 -1.2013 0.0241 ******* 41.5741
______________________________________________________________________________________________________________________________
Assume alphas of coincident events detected at 5 deg lab
1H(15N,4He)12C(gs) E_alpha + E_12C = 16.528+4.607MeV = 20.865MeV - 0.9MeV 12C energy loss dead layer = 19.965MeV = ch 998
1H(15N,4He)12C(4.44) forward CM E_alpha + E_12C = 0.750+15.676MeV = 16.426MeV - 0.8MeV 12C energy loss dead layer = 15.626MeV = ch 781
1H(15N,4He)12C(4.44) backward CM E_alpha + E_12C = 8.879+7.547MeV = 16.426MeV - 0.9MeV 12C energy loss dead layer = 15.526MeV = ch 776 |
Attachment 1: Screenshot_from_2024-06-06_15-06-55.png
|
|
Attachment 2: Screenshot_from_2024-06-06_15-07-36.png
|
|
Attachment 3: Screenshot_from_2024-06-06_15-08-53.png
|
|
Attachment 4: Screenshot_from_2024-06-06_15-09-42.png
|
|
Attachment 5: Screenshot_from_2024-06-06_15-11-08.png
|
|
Attachment 6: Screenshot_from_2024-06-06_15-10-35.png
|
|
Attachment 7: Screenshot_from_2024-06-06_15-11-53.png
|
|
Attachment 8: Screenshot_from_2024-06-06_15-13-22.png
|
|
Attachment 9: Screenshot_from_2024-06-06_15-14-51.png
|
|
658
|
Wed Jun 19 12:11:22 2024 |
CB, RSS | CARME status |
DSSD off
FEEs off
Motors out, pin inserted, compressed air closed, power removed from motors box.
Motors and temperature logs are in carme-gsi:logs/G22-200
Next experiment will install new setup attached to gas target. Some baking and vacuum operations required. Everything should stay off for safety until they are done. |
657
|
Wed Jun 19 12:08:34 2024 |
CB + various | Run 101-105 |
Run 101: no target. See ELOG entry by TD.
Run 102: ??
Run 103: ??
Run 104: After experiment time is over, Gleb tests beam lifetime at "high energies". Some data acquired for 30 minutes.
Run 105: Quick pulser walkthrough. People are working near CARME. Positive polarity 1000-9000 amplitude. |
656
|
Wed Jun 19 12:06:50 2024 |
CB, OF, SS | Run 98/99/100 - higher energy |
10:21 June 17
New energy: 1.125 MeV/u
Target in. Run 98 started.
Run 99 overlap check.
Run 100 back to acquisition as run 98. |
655
|
Wed Jun 19 12:04:02 2024 |
CB + OF, SS | Run 96/97 |
Run 96 - as run 89, while we wait for beam energy change at higher energies
Run stopped around 08:56 June 17
Run 97 - target out, beam optimisation for higher energies.
|
654
|
Wed Jun 19 12:01:29 2024 |
TD | 23:00-07:00 Wednesday 19 June |
23.18 DSSSD bias & leakage current OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats attachment 3
aida01-aida04 c. 250k
per FEE64 1.8.W spectra - 20us FSR - attachments 4-5
large transients aida01-aida04
per FEE64 Rate spectra - attachment 6
Merger, TapeServer etc. - attachments 7-8
data file R101_15
DSSSD energy spectra (updating) - attachment 9
DSSSD bias & leakage current log - attachment 10
transients bottom & top left c. 02:00 18.6.24
cycle slow comparator 0x32 -> 0x64 -> Check ASIC Control -> 0x32
aida01 - aida04 rates return to normal
ADC data item stats - attachment 11
DSSSD energy spectra - attachment 12
23.40 cycle slow comparator 0x32 -> 0x64 -> Check ASIC Control -> 0x32
aida01 - aida04 rates return to normal
00.10 cycle slow comparator 0x32 -> 0x64 -> Check ASIC Control -> 0x32
aida01 - aida04 rates return to normal
01.43 ADC item stats OK - attachment 13
FEE64 temps OK - attachment 14
04.43 ADC data items stats
ADC data item stats - attachment 15
FEE64 temps OK - attachment 16
cycle slow comparator 0x32 -> 0x64 -> Check ASIC Control -> 0x32
aida01 - aida04 rates return to normal
ADC data item stats - attachment 17
per FEE64 Rate spectra - attachment 18
Merger, TapeServer etc. - attachment 19
data file R101_51
07.00 FEE64 temps OK - attachment 21
ADC data items OK - attachment 20
Merger, Tape Server OK - attachment 22
DSSSD bias & leakage current - attachment 23
DAQ stop, close data file
DSSSD bias OFF
FEE64 power OFF
Gzip'ing all uncompressed data files |
Attachment 1: Screenshot_from_2024-06-18_23-18-30.png
|
|
Attachment 2: Screenshot_from_2024-06-18_23-19-08.png
|
|
Attachment 3: Screenshot_from_2024-06-18_23-19-35.png
|
|
Attachment 4: Screenshot_from_2024-06-18_23-19-58.png
|
|
Attachment 5: Screenshot_from_2024-06-18_23-20-34.png
|
|
Attachment 6: Screenshot_from_2024-06-18_23-21-15.png
|
|
Attachment 7: Screenshot_from_2024-06-18_23-21-39.png
|
|
Attachment 8: Screenshot_from_2024-06-18_23-21-58.png
|
|
Attachment 9: Screenshot_from_2024-06-18_23-22-33.png
|
|
Attachment 10: Screenshot_from_2024-06-18_23-23-38.png
|
|
Attachment 11: Screenshot_from_2024-06-18_23-27-21.png
|
|
Attachment 12: Screenshot_from_2024-06-18_23-32-38.png
|
|
Attachment 13: Screenshot_from_2024-06-19_01-43-09.png
|
|
Attachment 14: Screenshot_from_2024-06-19_01-43-52.png
|
|
Attachment 15: Screenshot_from_2024-06-19_04-44-25.png
|
|
Attachment 16: Screenshot_from_2024-06-19_04-44-51.png
|
|
Attachment 17: Screenshot_from_2024-06-19_04-46-42.png
|
|
Attachment 18: Screenshot_from_2024-06-19_04-47-32.png
|
|
Attachment 19: Screenshot_from_2024-06-19_04-48-46.png
|
|
Attachment 20: Screenshot_from_2024-06-19_07-01-22.png
|
|
Attachment 21: Screenshot_from_2024-06-19_07-01-45.png
|
|
Attachment 22: Screenshot_from_2024-06-19_07-02-17.png
|
|
Attachment 23: Screenshot_from_2024-06-19_07-03-24.png
|
|
653
|
Wed Jun 19 12:00:56 2024 |
CB | Run 93/94/95 - background |
04:00 17 June
Moved the detectors back to the usual configuration (as per run 89).
Moved target away - background run.
Some issues with run numbers - see attached run name R93, but run 94 is written. See attached. 93 and 95 contain data. 94 does *not* exist.
No obvious differences wrt. target run, except Rutherford. |
Attachment 1: Screenshot_from_2024-06-18_05-11-59.png
|
|
Attachment 2: Screenshot_from_2024-06-18_06-48-46.png
|
|
Attachment 3: Screenshot_from_2024-06-18_06-48-49.png
|
|
Attachment 4: Screenshot_from_2024-06-18_06-49-08.png
|
|
Attachment 5: Screenshot_from_2024-06-18_06-49-16.png
|
|
Attachment 6: Screenshot_from_2024-06-18_06-49-23.png
|
|
652
|
Wed Jun 19 11:54:26 2024 |
CB | Run 91/92 - moving detectors |
~ 2:00 17 June
Run 91
Attempted to move the detectors closer to the beam, and move them in sync with the injection.
Found best position was
Right arm: s by 5 mm, r p in (not moving). No benefits from moving. 10 mm + r p in on sync results in beam clipping during injection. 69.55 mm not moving.
Left arm: s by 5 mm, l p in (in sync with motion). Due to the short beam preparation time, only the trigger out was used as per screenshots below. 23.58 -- 67.90 moving.
Saw spike on leakage current for both detectors on the right arm. Unsure if this because they are being moved, or because they were hit with beam. Leakage current recovered.
Run 92 - acquired data as above.
Rutherford locus more clear on left arm detectors. No clear sign of nuclear reactions signals. |
Attachment 1: Screenshot_from_2024-06-18_03-07-50.png
|
|
Attachment 2: Screenshot_from_2024-06-18_03-53-51.png
|
|
Attachment 3: Screenshot_from_2024-06-18_04-20-15.png
|
|
Attachment 4: Screenshot_from_2024-06-18_04-20-18.png
|
|
Attachment 5: Screenshot_from_2024-06-18_04-20-21.png
|
|
651
|
Mon Jun 17 23:10:08 2024 |
CB | Run 90 |
00:10
As run 89, detectors moved fully out.
01:20
TL detector noisy. Shook it. Fixed it.
Temps OK. Noise spike reported in Run 89 still there.
Target 6E11. Beam stable.
02:00
TL detector noisy. Shook it. Fixed it.
ELOG STOPPED WORKING
|
Attachment 1: Screenshot_from_2024-06-18_01-21-47.png
|
|
Attachment 2: Screenshot_from_2024-06-18_01-21-55.png
|
|
650
|
Mon Jun 17 16:26:00 2024 |
JM, RSS, CB | Run 89 |
17:20
(Run 87 Junk and Run 88 does not exist)
Beam charge state set to 5+. Energy is ~1.06 MeV/u
Cooler voltage setpoint 590.3 V.
FC20 read value 589.07 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 3.5 mm (new overlap position with new beam)
vertical beam position 0.0 mm
Beam intensity 7.0e+6 particles.
Target density ~5e11 at/cm2
Beam is stored in the ring for ~20 seconds. From beam overlap tests ~ 75% of the beam is destroyed in this time.
Beam halo appears slightly reduced. This may be due to reduced intensity and reduced injection rate (20 s vs 5s previously)
18:00
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
Beam intensity 1.17e+7 particles
Target density ~5.25E11 atoms/cm2
20:28
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
Beam intensity 1.08e+7 particles
Target density ~3.84E11 atoms/cm2
23:58
Temps OK. Stats OK. Merger OK. Write to disk OK.
Waveforms attached.
Beam keeps going away due to "solenoid" issues.
On-line analysis screenshots attached.
Without beam, we see a clear noise spike in all detectors every 50-200 seconds randomly. This is *not* correlated with beam injection or dump.
Checkloaded - no effect. Beam back - no changes. |
Attachment 1: Screenshot_from_2024-06-17_17-59-13.png
|
|
Attachment 2: Screenshot_from_2024-06-17_17-59-30.png
|
|
Attachment 3: Screenshot_from_2024-06-17_17-59-38.png
|
|
Attachment 4: Screenshot_from_2024-06-17_17-59-54.png
|
|
Attachment 5: Screenshot_from_2024-06-17_18-00-00.png
|
|
Attachment 6: Screenshot_from_2024-06-17_20-27-54.png
|
|
Attachment 7: Screenshot_from_2024-06-17_20-28-05.png
|
|
Attachment 8: Screenshot_from_2024-06-17_20-28-13.png
|
|
Attachment 9: Screenshot_from_2024-06-17_20-30-10.png
|
|
Attachment 10: Screenshot_from_2024-06-17_20-30-15.png
|
|
Attachment 11: Screenshot_from_2024-06-17_23-48-01.png
|
|
Attachment 12: Screenshot_from_2024-06-17_23-48-08.png
|
|
Attachment 13: Screenshot_from_2024-06-17_23-48-57.png
|
|
Attachment 14: Screenshot_from_2024-06-17_23-49-26.png
|
|
Attachment 15: Screenshot_from_2024-06-17_23-49-34.png
|
|
Attachment 16: Screenshot_from_2024-06-17_23-49-39.png
|
|
Attachment 17: Screenshot_from_2024-06-17_23-49-46.png
|
|
Attachment 18: Screenshot_from_2024-06-17_23-49-52.png
|
|
Attachment 19: Screenshot_from_2024-06-17_23-50-21.png
|
|
649
|
Mon Jun 17 06:26:45 2024 |
JM, OF, AK, CB | Change to 5+ beam |
07:00
We are changing to 5+ beam so that we can access higher energies and possibly observe a higher lying, wider resonance.
Beam energy change to 1.06 MeV/u.
Detectors out
Target nozzle out
Pressure in E1 had reached 2e-1 mbar and turbo was spinning down to 810 Hz. Pressure reduced and E1 has reduced to 8.2e-2 mbar. We may require a pressure increase when we want the target back?
Run changed and out into no storage mode so we do not write rubbish to disk.
11:34
Beam tuning in the ring in progress
Merger OK. Not writing to disk.
Temps OK. Rates OK.
Leakage current OK.
Motors out. Target out.
13:31
Checks OK. Beam tuning near completion.
Some data acquired during beam tuning attached. |
Attachment 1: Screenshot_from_2024-06-17_11-32-46.png
|
|
Attachment 2: Screenshot_from_2024-06-17_11-33-47.png
|
|
Attachment 3: Screenshot_from_2024-06-17_11-34-01.png
|
|
Attachment 4: Screenshot_from_2024-06-17_11-34-11.png
|
|
Attachment 5: Screenshot_from_2024-06-17_13-30-41.png
|
|
Attachment 6: Screenshot_from_2024-06-17_13-30-52.png
|
|
Attachment 7: Screenshot_from_2024-06-17_13-30-56.png
|
|
648
|
Mon Jun 17 05:47:35 2024 |
JM | Run 86 |
06:50
No sign of the resonance during night shift. New run started but when operators are avaiable we will likely move to higher energies to try and observe the (p,a) reaction.
Cooler voltage setpoint 247.8 V.
FC20 read value 247.25 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~5e11 at/cm2 |
647
|
Mon Jun 17 04:01:16 2024 |
JM | Run 85 |
05:00
Cooler voltage setpoint 247.45 V.
FC20 read value 246.9 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~5e11 at/cm2
Target temperature is 38.6 K. Values of E1 is 819 Hz, 2.7A, 1.85e-1 mbar
All checks OK |
646
|
Mon Jun 17 02:05:37 2024 |
JM | Run 84 |
03:00
Cooler voltage setpoint 247.7 V.
FC20 read value 247.15 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~5e11 at/cm2
Target temperature is 38.6 K. Values of E1 is 820 Hz, 2.7A, 1.8e-1 mbar
All checks OK
03:34
Noise increase in top left dssd. Servo motor moved to resolve issue. |
645
|
Mon Jun 17 00:05:13 2024 |
JM | Run 83 |
01:00
Cooler voltage setpoint 247.6 V.
FC20 read value 247.05 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~5e11 at/cm2
Target temperature is 38.6 K. Values of E1 is 820 Hz, 2.7A, 1.7e-1 mbar
All checks OK
02:50
Noise increase on dssd top left. Moved servo motor to resolve issue. |
644
|
Sun Jun 16 22:49:22 2024 |
JM | Run 82 |
23:38
Cooler voltage setpoint 247.5 V.
FC20 read value 246.95 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~5e11 at/cm2
Target temperature is 38.6 K. Values of E1 is 820 Hz, 2.7A, 1.7e-1 mbar
All checks OK |
643
|
Sun Jun 16 22:40:11 2024 |
JM | Target overlap test - R81 |
23:10
Beam set to bunched mode to observe beam lifetime.
Electron cooler voltage setpoint kept at 246.8 V. Note this is 0.5 V lower than the previous test where the setpoint was 247.3 V
This corresponds to ~13.5 keV in the beam energy.
Attachment 1 shows target scan. Maximum still observed at a horizontal beam position of 1.0 mm.
Moving 0.5 V up or down does not impact the orbit enough to shift the beam position off the target.
Beam lifetime off target seems larger than previous, perhaps better vacuum conditions?
Back to coasting beam |
Attachment 1: Beam-overlap-updated2.png
|
|
642
|
Sun Jun 16 19:01:30 2024 |
ML, EM | Run 80 |
20:00
Ecooler setpoint 246.8
Readout 246.25
Collector current 4.3
Target density ~5.3E11
Beam intensity 7E7 ions |
641
|
Sun Jun 16 13:51:11 2024 |
CB EM ML | Run 79 |
14:51
Ecooler setpoint 246.9
Readout 246.35
Collector current 4.3
Target density 4.6E11
Beam intensity 8E7 ions
Shook left arm to fix it.
15:32
Right half on BL detector was not counting. Checkloaded. Fixed.
17:20
Moved left arm out/in to fix it.
Reduced temperature to 38.5 K in steps as the target density was declining.
E1: pressure: 1.57E-1 mbar, turbo frequency: 819 Hz
Target density: 1.5E11 atoms/cm2; Ion beam intensity: 6E7 particles (went down slightly, have not been able to get everything back)
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
19:50
E1: pressure: 1.61E-1 mbar, turbo frequency: 819 Hz
Target density: 1.5E11 atoms/cm2; Ion beam intensity: 7E7 particles (recovered slightly)
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
|
Attachment 1: Screenshot_from_2024-06-16_14-53-27.png
|
|
Attachment 2: Screenshot_from_2024-06-16_14-53-45.png
|
|
Attachment 3: Screenshot_from_2024-06-16_14-55-19.png
|
|
Attachment 4: Screenshot_from_2024-06-16_17-21-55.png
|
|
Attachment 5: Screenshot_from_2024-06-16_17-22-35.png
|
|
Attachment 6: Screenshot_from_2024-06-16_19-52-34.png
|
|
Attachment 7: Screenshot_from_2024-06-16_19-53-16.png
|
|
640
|
Sun Jun 16 13:29:05 2024 |
RSS CB | Run 78 |
Target clogged? |
639
|
Sun Jun 16 06:02:31 2024 |
RSS | Run 77 |
Run 77 started at 06:48
Cooler voltage setpoint 247.0 V.
07:05
DSSSD bias & leakage current OK - attachments 1 & 2
FEE64 temperatures OK - attachment 3
ADC data item stats OK - attachment 4
per FEE64 Rate spectra OK - attachment 5
Beam intensity 8.45e+7 particles
Target density ~5.34E11 atoms/cm2
09:02
DSSSD bias & leakage current OK - attachments 6 & 7
FEE64 temperatures OK - attachment 8
ADC data item stats OK - attachment 9
per FEE64 Rate spectra OK - attachment 10
Beam intensity 7.85e+7 particles
Target density ~5.29E11 atoms/cm2
11:00
DSSSD bias & leakage current OK - attachments 11 & 12
FEE64 temperatures OK - attachment 13
ADC data item stats OK - attachment 14
per FEE64 Rate spectra OK - attachment 15
Beam intensity 8.5e+7 particles
Target density ~6.1E11 atoms/cm2
13:00
DSSSD bias & leakage current OK - attachments 16 & 17
FEE64 temperatures OK - attachment 18
ADC data item stats OK - attachment 19
per FEE64 Rate spectra OK - attachment 20
Beam intensity 8.2e+7 particles
Target density ~6.1E11 atoms/cm2 |
Attachment 1: Screenshot_from_2024-06-16_07-05-18.png
|
|
Attachment 2: Screenshot_from_2024-06-16_07-06-01.png
|
|
Attachment 3: Screenshot_from_2024-06-16_07-05-33.png
|
|
Attachment 4: Screenshot_from_2024-06-16_07-05-44.png
|
|
Attachment 5: Screenshot_from_2024-06-16_07-05-53.png
|
|
Attachment 6: Screenshot_from_2024-06-16_09-01-29.png
|
|
Attachment 7: Screenshot_from_2024-06-16_09-02-12.png
|
|
Attachment 8: Screenshot_from_2024-06-16_09-01-46.png
|
|
Attachment 9: Screenshot_from_2024-06-16_09-01-55.png
|
|
Attachment 10: Screenshot_from_2024-06-16_09-02-03.png
|
|
Attachment 11: Screenshot_from_2024-06-16_11-00-13.png
|
|
Attachment 12: Screenshot_from_2024-06-16_11-00-18.png
|
|
Attachment 13: Screenshot_from_2024-06-16_11-00-33.png
|
|
Attachment 14: Screenshot_from_2024-06-16_11-00-43.png
|
|
Attachment 15: Screenshot_from_2024-06-16_11-00-52.png
|
|
Attachment 16: Screenshot_from_2024-06-16_12-59-53.png
|
|
Attachment 17: Screenshot_from_2024-06-16_13-00-04.png
|
|
Attachment 18: Screenshot_from_2024-06-16_13-00-24.png
|
|
Attachment 19: Screenshot_from_2024-06-16_13-00-34.png
|
|
Attachment 20: Screenshot_from_2024-06-16_13-00-45.png
|
|
638
|
Sat Jun 15 21:14:56 2024 |
JM, JS, LC | Run 76 |
22:15
New run 76 - beam on target with definite target overlap [coasting]
Cooler voltage setpoint 247.1 V.
FC20 read value 246.55 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm (new beam target overlap)
vertical beam position -5mm
Beam intensity 7.5e+7 particles.
Target density ~6.2e11 at/cm2
Target temperature is 38.5 K. Values of E1 is 820 Hz, 2.6A, 1.85e-1 mbar
00:15
System and detector check is OK. E1 pressure is increasing slowly. target 4e+11/cm2
02:15
System and detector check is OK. E1 pressure is increasing slowly. P=2,2e-1 mbar Pump frequency is 807 Hz, 2.7A. Target 5e+11/cm2
03:15
System and detector check is OK. E1 pressure reaches 2,3e-1 mbar, thus flow is decrease a bit. Pump frequency is 800 Hz, 2.8A. Target 6e+11/cm2
04:15
System and detector check is OK. E1 pressure is stabilized. New values: 2.07e-1 mbar, 810 Hz, 2.8 A. Target 5e+11/cm2. Resonance peak not so visible- see attachment 1.
05:43
System and detector check is OK. E1 pressure is slowly increasing. New values: 2.13e-1 mbar, 807 Hz, 2.8 A. Target 5e+11/cm2. Beam intensity stable at 7e+7 particles. |
Attachment 1: Screenshot_from_2024-06-15_22-16-53.png
|
|
637
|
Sat Jun 15 13:30:18 2024 |
JM, JS | Run 75 |
14:30
New run 75 - beam on target with definite target overlap [coasting]
Cooler voltage setpoint 247.2 V.
FC20 read value 246.65 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm (new beam target overlap)
vertical beam position -5mm
Beam intensity 8.5e+7 particles.
Target density ~6e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.6A, 1.4e-1 mbar
16:00
Beam intensity 8.5e+7 particles.
Target density ~4e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.6A, 1.68e-1 mbar
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats OK - attachment 3
16:58
Pressure on E1 has risen to 1.74e-1 mbar. Target density ~4.5e11 at/cm2.
Turbo is decreased to 818-819 Hz. We will increase temperature sligtly to see if this helps.
17:11
Increase in noise on top left DSSD. Correlates with spike in the source seen on lassie. Moved servo motor out then in. Problem resolved.
System checks OK
18:35
Tempature has been increase in small steps to 38.4 K. E1 appears to have stabalised at 1.71e-1 mbar. Turbo speed 818-819 Hz.
Target density ~5.5e11 at/cm2. We will wait to see how it evolves over time.
18:47
Increase in noise on top left DSSD. Correlates with spike in the source seen on lassie. Moved servo motor out then in. Problem resolved.
20:55
All checks OK
Tempature has been increase in small steps to 38.4 K. E1 1.81e-1 mbar. Turbo speed 818-819 Hz.
21:48
System checks OK
22:14
Run stopped |
Attachment 1: Screenshot_from_2024-06-15_15-53-57.png
|
|
Attachment 2: Screenshot_from_2024-06-15_15-54-30.png
|
|
Attachment 3: Screenshot_from_2024-06-15_15-54-55.png
|
|
636
|
Sat Jun 15 06:10:21 2024 |
EM, PMH | Run 74 |
7:00
Cooler voltage setpoint 247.4 V.
7:44
E1 Turbo at 808 Hz, 2.75 A
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
Beam intensity 7.5e+7 particles
Target density ~3E10 atoms/cm2
8:30
E1 pressure was too high (2.13E-1 mbar) and turbo frequency too low (807 Hz).
We increased T1 in steps of 0.2 K to 39.6 K, which improved in E1 (2E-1 mbar) and turbo freq. (813 Hz).
T1 was decreased again to 39.4 K.
After speaking to Nikos we slightly decreased the gas flow (~15 bar) as desribed in elog entry 631.
Values now: turbo (817 Hz), E1 pressure (1.78E-1 mbar) -> both improved
10:06
The gas flow was decreased in three steps, there is now change visible on the gauge (still ~15 bar).
The turbo frequency ist stable at 819 Hz and pressure at E1 is 1.45E-1 mbar.
The temperature is currently at 39.0 K and we will start decreasing it to try and bring the target density up.
DSSSD bias & leakage current
OK FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
Beam intensity 7.5e+7 particles
Target density ~2E10 atoms/cm2
11:50
All system checks OK
Target density is ~3e11 at/cm2.
Temoerature of the nozzle is curretnly 37.5K with E1=1.6e-1 mbar. Turbo running at 820 Hz
14:29
Run stopped
|
Attachment 1: Screenshot_from_2024-06-15_07-40-18.png
|
|
Attachment 2: Screenshot_from_2024-06-15_07-40-48.png
|
|
Attachment 3: Screenshot_from_2024-06-15_07-41-45.png
|
|
Attachment 4: Screenshot_from_2024-06-15_07-41-51.png
|
|
Attachment 5: Screenshot_from_2024-06-15_07-41-56.png
|
|
Attachment 6: Screenshot_from_2024-06-15_07-43-29.png
|
|
635
|
Sat Jun 15 00:46:45 2024 |
TD | CRYRING hall temperature transient |
CRYRING hall temperature transient - evening of Friday 14 June
Cooling of recirculating water failed on a number of circuits within the GSI experimental hall including S4 and CRYRING
Subsequently repaired bu on-call maintenance engineer |
Attachment 1: Capture.PNG
|
|
634
|
Fri Jun 14 22:18:18 2024 |
RSS, CB, US | Run 73 |
Beam is back.New run started.
Cooler voltage setpoint 247.3 V.
23:18
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
Beam intensity 6e+7 particles
Target density ~4E10 atoms/cm2
01:01
DSSSD bias & leakage current OK - attachment 1 & 5
FEE64 temperatures OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra OK - attachment 4
Beam intensity 7e+7 particles
Target density ~5E11 atoms/cm2
03:03
DSSSD bias & leakage current OK - attachment 6 & 7
FEE64 temperatures OK - attachment 8
ADC data item stats OK - attachment 9
per FEE64 Rate spectra OK - attachment 10
Beam intensity 7e+7 particles
Target density ~4E11 atoms/cm2
05:00
DSSSD bias & leakage current OK - attachment 11 & 15
FEE64 temperatures OK - attachment 12
ADC data item stats OK - attachment 13
per FEE64 Rate spectra OK - attachment 14
Beam intensity 7.4e+7 particles
Target density ~5E11 atoms/cm2
05:15
Turbo frequency went below 810 Hz. Set temperature increased from 38.8 K to 39.0 K.
06:50
DSSSD bias & leakage current OK - attachment 16 & 17
FEE64 temperatures OK - attachment 18
ADC data item stats OK - attachment 19
per FEE64 Rate spectra OK - attachment 20
Beam intensity 7.5e+7 particles
Target density ~2.3E11 atoms/cm2 |
Attachment 1: Screenshot_from_2024-06-15_00-59-40.png
|
|
Attachment 2: Screenshot_from_2024-06-15_00-59-53.png
|
|
Attachment 3: Screenshot_from_2024-06-15_01-00-03.png
|
|
Attachment 4: Screenshot_from_2024-06-15_01-00-12.png
|
|
Attachment 5: Screenshot_from_2024-06-15_01-00-20.png
|
|
Attachment 6: Screenshot_from_2024-06-15_03-03-21.png
|
|
Attachment 7: Screenshot_from_2024-06-15_03-03-41.png
|
|
Attachment 8: Screenshot_from_2024-06-15_03-03-49.png
|
|
Attachment 9: Screenshot_from_2024-06-15_03-04-00.png
|
|
Attachment 10: Screenshot_from_2024-06-15_03-04-08.png
|
|
Attachment 11: Screenshot_from_2024-06-15_05-00-41.png
|
|
Attachment 12: Screenshot_from_2024-06-15_05-01-00.png
|
|
Attachment 13: Screenshot_from_2024-06-15_05-01-14.png
|
|
Attachment 14: Screenshot_from_2024-06-15_05-01-23.png
|
|
Attachment 15: Screenshot_from_2024-06-15_05-01-34.png
|
|
Attachment 16: Screenshot_from_2024-06-15_06-49-51.png
|
|
Attachment 17: Screenshot_from_2024-06-15_06-49-57.png
|
|
Attachment 18: Screenshot_from_2024-06-15_06-50-13.png
|
|
Attachment 19: Screenshot_from_2024-06-15_06-50-25.png
|
|
Attachment 20: Screenshot_from_2024-06-15_06-50-33.png
|
|
633
|
Fri Jun 14 19:59:14 2024 |
US, LC, CB | New run with name of 72 is started due to target issue |
21:00
Target is lost. Background run is being collected.
New run 72 - beam on target with definite target overlap [coasting]
Cooler voltage setpoint 247.3 V.
FC20 read value 246.75 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm18:00
FakeMotion script running
horizontal beam position 1.0 mm (new beam target overlap)
vertical beam position -5mm
Beam intensity 6e+7 particles.
Target density ~3e9 at/cm2
Target temperature is 90 K. Values of E1 is 820 Hz, 2.6A, 4.2e-2 mbar
Aida03 detector T is stable.SCreenshot is attached.
22:27
Cooling fixed. Temps OK. |
Attachment 1: Screenshot_from_2024-06-14_21-08-22.png
|
|
Attachment 2: Screenshot_from_2024-06-14_21-08-22.png
|
|
632
|
Fri Jun 14 14:52:02 2024 |
JM | Beam-Target Overlap Scan |
The orbit of the beam in the ring was re-configured so that the beam position is more central.
With the target density at ~6e11 at/cm2 this allowed a target overlap scan using the beam lifetime to be performed.
Beam put into bunched mode to allow the number of particles to be observed on Grafana.
The number of particles injected at the start of the cycle was then compared to the number of particles at the end of the cycle to gauge the % of the beam lost.
A flat top region was observed where >99% of the particles in the ring were lost. The centre of this flat top corresponds to a horizontal beam position of 1.0 mm
Attachment 1 - Grafana plot of beam intensity
Attachment 2 - Plot of overlap |
Attachment 1: 20240614_153532.jpg
|
|
Attachment 2: Beam-overlap-updated.png
|
|
631
|
Fri Jun 14 14:48:02 2024 |
CB NP ML | [How To] Change target pressure. EMERGENCY ONLY! |
ONLY FOLLOW THESE INSTRUCTIONS if E1 turbo is below 820 Hz, pressure in E1 is above 2.5E-1 mbar and experts (Nikos, Andreas) cannot be reached.
Go to the red cabinet under the stairs.
Open rightmost door. See first photo. Do not press the button on the handle or the door will lock!
Turn down pressure regulator indicated in second photo by approximately 1 degree. Read regulator to know which way to turn to decrease. No immediate change should be obvious on the pressure regulator.
Check E1 pressure for 5 minutes. If no effect, turn pressure down again until an effect appears. |
Attachment 1: 20240614_142435.jpg
|
|
Attachment 2: 20240614_142440.jpg
|
|
630
|
Fri Jun 14 14:46:54 2024 |
LC, JM, CB, TD, US | Run 71 - beam on target |
15:45
New run 71 - beam on target with definite target overlap [coasting]
Cooler voltage setpoint 247.3 V.
FC20 read value 246.75 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm18:00
FakeMotion script running
horizontal beam position 1.0 mm (new beam target overlap)
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~4e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.6A, 1.4e-1 mbar
16:36
No difference observed between bunched and coasting
See attached screenshots to compare to previous run
Saw an increase in the data rate to disk ~ 2000 kB/s. On spectrum browser we saw aida15 had HEC reading hot. ASIC check on aida 15 resolved issue
18:00
Beam intensity 6e+7 particles.
Target density ~6e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.7 A, 1.5e-1 mbar
Aida03 detector temperature is 66 degree.
20:00
Beam intensity 6e+7 particles.
Target density ~6e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.7 A, 1.6e-1 mbar
Aida03 detector temperature is 63 degree. Screenshot is attached.
21:00
arget densityis dropped drastically. A temperature is ncreased signifiantly.
Beam intensity 6e+7 particles.
Target density ~2.7e9 at/cm2
Target temperature is 9 K. Values of E1 is 820 Hz, 2.7 A, 4.2e-2mbar
Aida03 detector temperature is 63 degree. Screenshot is attached.
|
Attachment 1: Screenshot_from_2024-06-14_16-35-44.png
|
|
Attachment 2: Screenshot_from_2024-06-14_16-36-06.png
|
|
Attachment 3: Screenshot_from_2024-06-14_16-36-28.png
|
|
Attachment 4: Screenshot_from_2024-06-14_19-49-02.png
|
|
629
|
Fri Jun 14 13:45:21 2024 |
JM, JS, CB, TD, EH | Run 70 - beam on target |
14:45
New run 70 - beam on target with definite target overlap
Cooler voltage setpoint 247.3 V.
FC20 read value 246.75 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
FakeMotion script running
horizontal beam position 1.0 mm (new beam target overlap)
vertical beam position -5mm
Beam intensity 7.0e+7 particles.
Target density ~6.3e11 at/cm2
Target temperature is 36.6 K. Values of E1 is 820 Hz, 2.6A, 1.4e-1 mbar
All system checks OK, data rate is ~ 260 kB/s. Statistics attached.
xy and energy histograms following ~20 mins attached.
15:45
I realised we have been running in bunched mode not coasting as we have been previously.
See attached screenshots (4-7) of last 1 hour of running. We will move to coasting. |
Attachment 1: Screenshot_from_2024-06-14_14-51-46.png
|
|
Attachment 2: Screenshot_from_2024-06-14_14-53-57.png
|
|
Attachment 3: Screenshot_from_2024-06-14_14-55-02.png
|
|
Attachment 4: Screenshot_from_2024-06-14_15-38-58.png
|
|
Attachment 5: Screenshot_from_2024-06-14_15-39-16.png
|
|
Attachment 6: Screenshot_from_2024-06-14_15-39-36.png
|
|
Attachment 7: Screenshot_from_2024-06-14_15-40-14.png
|
|
628
|
Fri Jun 14 10:21:24 2024 |
JS, TD | Run 68 |
Frank has been tuning the beam since 08:00
detectors moved fully out
11:20
New run (R68) started at 11:20. Put into no storage mode as rates are high during beam tuning. Cut off the end of last nights run when analysing
System checks OK
13.20 DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 1.8.W spectra - attachments 4-5
per FEE64 Rate spectra - attachment 6
aida16 producing HEC data - Chck ASIC load to fix
TapeServer - no storage mode
13.35 aida01-aida04 c. 250k ADC data items/s - will await completion of current beam/target scan to fix by cycing Left DSSSD motion
14.00 CB cycles Left DSSSD motion to fix aida01-aida04 rate issue
CRYRING vacuum & hall temprature - attachments 7-8
14.28 New run data file G22-201/R69
Beam/target overlap optimised - details to follow |
Attachment 1: Screenshot_from_2024-06-14_13-22-13.png
|
|
Attachment 2: Screenshot_from_2024-06-14_13-22-18.png
|
|
Attachment 3: Screenshot_from_2024-06-14_13-22-37.png
|
|
Attachment 4: Screenshot_from_2024-06-14_13-22-58.png
|
|
Attachment 5: Screenshot_from_2024-06-14_13-23-30.png
|
|
Attachment 6: Screenshot_from_2024-06-14_13-24-08.png
|
|
Attachment 7: Screenshot_from_2024-06-14_13-25-02.png
|
|
Attachment 8: vac.PNG
|
|
Attachment 9: temp.PNG
|
|
627
|
Thu Jun 13 23:01:07 2024 |
RSS, JS, EH | Run 67 - Night shift |
Run 67
00:01
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra OK - attachment 4
Beam intensity 5.7E7 particles
Target density - 4.98E11 atoms/cm2
02:01
DSSSD bias & leakage current OK - attachment 5
FEE64 temperatures OK - attachment 6
ADC data item stats OK - attachment 7
per FEE64 Rate spectra OK - attachment 8
Beam intensity 5.8E7 particles
Target density - 4.39E11 atoms/cm2
04:00
DSSSD bias & leakage current OK - attachment 9
FEE64 temperatures OK - attachment 10
ADC data item stats OK - attachment 11
per FEE64 Rate spectra OK - attachment 12
Beam intensity 4.1E7 particles
Target density - 3.57E11 atoms/cm2
06:00
DSSSD bias & leakage current OK - attachment 13
FEE64 temperatures OK - attachment 14
ADC data item stats OK - attachment 15
per FEE64 Rate spectra OK - attachment 16
Beam intensity 3.7E7 particles
Target density - 3.89E11 atoms/cm2
08:00
The orbit adjustments started. The detectors moved out. One of these showed rates of about 20kHz. but after moving it in and out again it returned to normal.
11:00
DSSSD bias & leakage current OK - attachment 17
FEE64 temperatures OK - attachment 18
ADC data item stats OK - attachment 19
per FEE64 Rate spectra OK - attachment 20
Beam intensity 4.9E7 particles
Target density - 5.27E11 atoms/cm2 |
Attachment 1: Screenshot_from_2024-06-14_00-02-01.png
|
|
Attachment 2: Screenshot_from_2024-06-14_00-02-20.png
|
|
Attachment 3: Screenshot_from_2024-06-14_00-02-31.png
|
|
Attachment 4: Screenshot_from_2024-06-14_00-02-40.png
|
|
Attachment 5: Screenshot_from_2024-06-14_02-00-42.png
|
|
Attachment 6: Screenshot_from_2024-06-14_02-00-58.png
|
|
Attachment 7: Screenshot_from_2024-06-14_02-01-04.png
|
|
Attachment 8: Screenshot_from_2024-06-14_02-01-13.png
|
|
Attachment 9: Screenshot_from_2024-06-14_04-00-08.png
|
|
Attachment 10: Screenshot_from_2024-06-14_04-00-26.png
|
|
Attachment 11: Screenshot_from_2024-06-14_04-00-38.png
|
|
Attachment 12: Screenshot_from_2024-06-14_04-00-52.png
|
|
Attachment 13: Screenshot_from_2024-06-14_06-00-15.png
|
|
Attachment 14: Screenshot_from_2024-06-14_06-00-31.png
|
|
Attachment 15: Screenshot_from_2024-06-14_06-00-42.png
|
|
Attachment 16: Screenshot_from_2024-06-14_06-00-51.png
|
|
Attachment 17: Screenshot_from_2024-06-14_10-53-10.png
|
|
Attachment 18: Screenshot_from_2024-06-14_10-53-54.png
|
|
Attachment 19: Screenshot_from_2024-06-14_10-54-28.png
|
|
Attachment 20: Screenshot_from_2024-06-14_10-55-05.png
|
|
626
|
Thu Jun 13 21:07:30 2024 |
LCS, US | Run 67- beam on target |
22:05
The energy is changed to 247.4 V.
Read back value is 246.849 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
horizontal beam position 8.75 mm
vertical beam position -5mm
Beam intensity 4.0e+7 particles.
Run is R67. Target temperature is 36..6 K.
Values of E1 is 820 Hz, 2.4A, 8.69e-2 mbar, 2.55e+11/cm2. The pressure is slowly increasing since this afternoon. The recent value is E1 is 820 Hz, 2.4A, 9.0e-2 mbar, 3.28e+11/cm2
Systen checks all OK
Attachments
13.39 DSSSD bias & leakage current OK
FEE64 temps OK
ADC data item stats OK
per FEE64 Rate spectra
Merger, TapeServer et
data file R65_11
DSSSD bias & leakge current log
CARME DSSSD motion control
|
Attachment 1: Screenshot_from_2024-06-13_22-14-46.png
|
|
Attachment 2: Screenshot_from_2024-06-13_22-15-01.png
|
|
Attachment 3: Screenshot_from_2024-06-13_22-15-14.png
|
|
Attachment 4: Screenshot_from_2024-06-13_22-15-38.png
|
|
Attachment 5: Screenshot_from_2024-06-13_22-15-44.png
|
|
Attachment 6: Screenshot_from_2024-06-13_22-15-51.png
|
|
Attachment 7: Screenshot_from_2024-06-13_22-16-07.png
|
|
625
|
Thu Jun 13 14:46:17 2024 |
TD | R66 beam overlap test |
15.45 Start data file G22-201/R66
Beam/target overlap test |
624
|
Thu Jun 13 09:48:25 2024 |
TD, LCS | Run 65 - beam on target |
10:47
The energy is changed to 247.4 V.
Read back value is 246.849 V.
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
horizontal beam position 8.75 mm
vertical beam position -5mm
Beam intensity 3.0e+7 particles.
e-cooler export controls before/after energy change - attachments 1 & 2
New run is R65. Target temperature is 37.6 K.
Values of E1 is 820 Hz, 2.12A, 6.01e-2 mbar, 2.8e+11/cm2
12:34
Systen checks all OK
Target density stable at ~1.5e11 at/cm2
E1 = 6e-2 mbar, turbo is fine
13,38 CB reboots RHS CRYRING control computer (atppc017) - appears to be a now unavoidable system update/reboot
13.39 DSSSD bias & leakage current OK - attachment 3
FEE64 temps OK - attachment 4
ADC data item stats OK - attachment 5
per FEE64 Rate spectra - attachment 6
Merger, TapeServer etc - attachments 7-8
data file R65_11
DSSSD bias & leakge current log - attachment 12
CARME DSSSD motion control - attachment 13
13.42 E1 turbo 820Hz 2.2A 5.2e-2mbar
Target 3.45e+11/cm2 T1 36.6K
Beam c. 3e+7
15:20
Stats OK. Temps OK.
Merger OK. Write to disk OK.
|
Attachment 1: 20240613_104403.jpg
|
|
Attachment 2: 20240613_104840.jpg
|
|
Attachment 3: Screenshot_from_2024-06-13_13-39-26.png
|
|
Attachment 4: Screenshot_from_2024-06-13_13-39-40.png
|
|
Attachment 5: Screenshot_from_2024-06-13_13-40-09.png
|
|
Attachment 6: Screenshot_from_2024-06-13_13-40-32.png
|
|
Attachment 7: Screenshot_from_2024-06-13_13-40-52.png
|
|
Attachment 8: Screenshot_from_2024-06-13_13-41-02.png
|
|
Attachment 9: Screenshot_from_2024-06-13_13-41-16.png
|
|
Attachment 10: Screenshot_from_2024-06-13_13-41-23.png
|
|
Attachment 11: Screenshot_from_2024-06-13_15-12-19.png
|
|
Attachment 12: Screenshot_from_2024-06-13_15-13-16.png
|
|
Attachment 13: Screenshot_from_2024-06-13_15-20-28.png
|
|
Attachment 14: Screenshot_from_2024-06-13_15-20-31.png
|
|
623
|
Thu Jun 13 06:21:53 2024 |
TD, LCS | Run 64 - contd |
07.22 E1 pump 799Hz, 2.8A, 2.6e-1 mbar, 4.8e+11/cm2
07.24 DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra - attachment 4
per FEE64 1.8.L spectra - attachments 5-6
per FEE64 1.8.W spectra - 20us FSR - attachments 7-8
Merger, TapeServer etc - attachments 9-10
data file G22-201/R64_11
DSSSD bias & leakage current log - attachment 11
CRYRING hall temperature log - attachment 12
CARME DSSSD position controller - attachment 13
07.57 all histograms zero'd
07.58 E1 pump 794Hz, 2.8A, 2.6e-1 mbar, 3.1e+11/cm2
08.55 E1 pump 785Hz, 2.8A, 2.74e-1 mbar, 4.8e+11/cm2. Therefore, the temperature is changed from 38.8 K to 38.4 K. Values of E1 is changed to E1 pump 782Hz, 2.7A, 2.95e-1 mbar, 6e+11/cm2
09.15 The temperature is further changed from 38.4 K to 38.0 K.
09.25 Values of E1 is changed to E1 pump 780 Hz, 2.79 A, 3.0 e-1 mbar, 5.87 e+11/cm2
09.35 Values of E1 is changed to E1 pump 779 Hz, 2.79 A, 3.1 e-1 mbar, 2.07 e+11/cm2
09.45 Values of E1 is changed to E1 pump 778 Hz, 2.75 A, 3.0 e-1 mbar, 4.6 e+11/cm2
10.00 Values of E1 is changed to E1 pump 776 Hz, 2.78 A, 3.05 e-1 mbar, 5 e+11/cm2
Intervention by Uli. Reduced H2 regulator to c. 12 bar. Briefly opened exhaust to hasten recovery.
10.13 E1 820Hz 2.3A 7.7e-2mbar c. 2e+11/cm2
10.34 E1 820Hz 2.1A 6.2e-2mbar c. 1.2e+11/cm2 38K
Beam c. 4e+7. Cooler set 247.3V, read 246.751V. |
Attachment 1: Screenshot_from_2024-06-13_07-24-49.png
|
|
Attachment 2: Screenshot_from_2024-06-13_07-28-56.png
|
|
Attachment 3: Screenshot_from_2024-06-13_07-30-34.png
|
|
Attachment 4: Screenshot_from_2024-06-13_07-36-35.png
|
|
Attachment 5: Screenshot_from_2024-06-13_07-40-06.png
|
|
Attachment 6: Screenshot_from_2024-06-13_07-41-10.png
|
|
Attachment 7: Screenshot_from_2024-06-13_07-42-32.png
|
|
Attachment 8: Screenshot_from_2024-06-13_07-43-30.png
|
|
Attachment 9: Screenshot_from_2024-06-13_08-10-26.png
|
|
Attachment 10: Screenshot_from_2024-06-13_08-10-33.png
|
|
Attachment 11: Screenshot_from_2024-06-13_08-10-43.png
|
|
Attachment 12: Capture.PNG
|
|
Attachment 13: Screenshot_from_2024-06-13_08-16-07.png
|
|
622
|
Thu Jun 13 00:50:33 2024 |
JM, JS | Run 64 - beam on target |
01:50
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target ~3E11
Injection ca. 3E7
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 247.3 V
Read value 246.75 V
Collector current 4.3A
Histograms and statistics zero'd. Code reset
Rates OK. Temps OK.
NOTE. Between 23:45 and 12:45 the electron cooler was off? We called HKR and they turned it back on. Are we using the same cooler settings? Is the cooler still definitely working properly? Ask Claude in the morning
02:30
The target density started to oscillate between 2e+10 and 3.5e+10. However, the pump speed seems to be stable.
03:50
The oscillations seems to deepend between 1e+10 and 6e+10. Additionally the E1 pump slowed down to 815. As Nikos suggested during the tuning, I tried to lower the temperature from 41K to 40.8K.
04:00 - 04:30
No major change was observed, thus I increased the temperature to 42.2K in order to try to get the pump to the nominal rotation. After 10 minutes, the pump slowed down to 810 and the pressure in E1 reached 2.2e-1. Since increasing the temperature seemed to have a negative effect, I slowly decresed the temperature with steps of 0.2 K, until reaching 40K. At this point, the E1 pump returned to 819/820, the pressure of E1 lowered to 1.6e-1 and the target density seems to be more stable with a value of 2.8e+11.
05:00
The target density started decreasing to 1.5e+10 and the pump speed slowed to 815. I've tried to lower the temperature to 39.8K, but the target density started to decrease. Thus I've set the temperature to 40.2K. The pump speed raised to 818 but the target density remaind at 1e+11 level with oscillations between 8.5e+10 and 1.5e+11. After some minutes, it started slowly increasing towards the 2e+11 region. The E1 pumping speed is stable at 818 and the pressure of E1 is 2.02e-1.
05:10
Electron cooler off, restarted 10 minutes after by calling HKK.
05:30
Target density at 1.5e+11, however, the E1 raised to 2.3e-1 and the pump speed decreased to 810. Since going down seemed to solve it, I decreased the temperature to 39.6K. After 5 minutes the E1 lowered to 1.9e-1 and the pump speed is going up (currently at 814). The target density is at the same level of 1.5e+11.
06:00
Again the target density started to go down, as well the speed of the E1 pump and the E1 pressure reached 2.3e-1. I lowered the temperature to 39.2K: the target density raised to approximately 3.8e+11 (and keeps going up), the E1 pressure lowered to 1.9e-1 and the E1 pump speed started increasing from 810 to 815.
06:30
The target density starting going down again, the E1 started increasing to 2.3e-1 and the E1 pump speed decreased to 810. I lowered the temperature to 38.8K, the density raised to 3.5e+11, the E1 pressure lowered to 1.9e-1 and the pumping speed starting increasing. |
621
|
Wed Jun 12 07:16:47 2024 |
RSS, YL, JM, TD, CB | Status |
07:56 Gleb has taken the beam to try settings for the 5+ charge state. As soon as the target is ready, the 1+ charge state will be given back.
Detectors have been moved out for safety
08:10
Run 63
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
09:55
Run 63
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
10:30
Data rate appeared very high c. 2000 kB/s instead of the usual 200 kB/s.
Rate on first two ASICs of aida02 was high (~2 kHz) but rest of aida01-04 looked fine.
ASIC check load reduced rate back to normal levels. Data rate reduced back to 200 kB/sec.
New ADC offsets loaded into the CARME_Sort code
Aida03 appears to be down. Check load does not resolve issue. Moving detector arm does not resolve issue. No spike in leakage current observed. System checks appear OK. Zeroing ADC data item statistics keeps aida03 at 606023857 items and does not zero.
Issue resolved by resetting the data links for aida03
13:20
DSSSD bias & leakage current OK
FEE64 temperatures OK
ADC data item stats OK
per FEE64 Rate spectra OK
21:30
Waiting for the target.
Beam has been running, we have been running without storage.
See attachment showing beam stripe on the detectors.
|
Attachment 1: Screenshot_from_2024-06-12_08-18-27.png
|
|
Attachment 2: Screenshot_from_2024-06-12_08-18-44.png
|
|
Attachment 3: Screenshot_from_2024-06-12_08-18-55.png
|
|
Attachment 4: Screenshot_from_2024-06-12_08-19-08.png
|
|
Attachment 5: Screenshot_from_2024-06-12_11-10-34.png
|
|
Attachment 6: Screenshot_from_2024-06-12_21-39-20.png
|
|
620
|
Tue Jun 11 21:16:50 2024 |
TD | Run 63 continued |
Run 63 continued
22.06 DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachments 4-5
aida16 producing HEC data, ASIC check load to clear
23.56 DSSSD bias & leakage current OK - attachment 6
FEE64 temperatures OK - attachment 7
ADC data item stats - attachment 8
per FEE64 Rate spectra - attachments 9
aida01-aida04 high ADC data item rates - left DSSSD motion cycle required to reset
03.28 DSSSD bias & leakage current OK - attachment 10
FEE64 temperatures OK - attachment 11
ADC data item stats - attachment 12
per FEE64 Rate spectra - attachments 13
aida01-aida04 high ADC data item rates - left DSSSD motion cycle required to reset
07.50 DSSSD bias & leakage current OK - attachment 14
FEE64 temperatures OK - attachment 15
ADC data item stats - attachment 16
per FEE64 Rate spectra - attachments 17
aida01-aida04 high ADC data item rates (see above) appears to have resolved itself or has been resolved (but not logged) |
Attachment 1: Screenshot_from_2024-06-11_22-06-38.png
|
|
Attachment 2: Screenshot_from_2024-06-11_22-07-38.png
|
|
Attachment 3: Screenshot_from_2024-06-11_22-08-37.png
|
|
Attachment 4: Screenshot_from_2024-06-11_22-12-35.png
|
|
Attachment 5: Screenshot_from_2024-06-11_22-10-38.png
|
|
Attachment 6: Screenshot_from_2024-06-11_23-56-29.png
|
|
Attachment 7: Screenshot_from_2024-06-12_00-00-16.png
|
|
Attachment 8: Screenshot_from_2024-06-12_00-02-06.png
|
|
Attachment 9: Screenshot_from_2024-06-12_00-02-35.png
|
|
Attachment 10: Screenshot_from_2024-06-12_03-25-53.png
|
|
Attachment 11: Screenshot_from_2024-06-12_03-26-33.png
|
|
Attachment 12: Screenshot_from_2024-06-12_03-27-12.png
|
|
Attachment 13: Screenshot_from_2024-06-12_03-28-02.png
|
|
Attachment 14: Screenshot_from_2024-06-12_07-50-16.png
|
|
Attachment 15: Screenshot_from_2024-06-12_07-52-53.png
|
|
Attachment 16: Screenshot_from_2024-06-12_07-53-23.png
|
|
Attachment 17: Screenshot_from_2024-06-12_07-53-53.png
|
|
619
|
Tue Jun 11 20:10:25 2024 |
JM | Run 63 - Beam only background |
21:00
Claude has achieved optimal cooling parameters for the beam
No target and internal work inside the cave tomorrow is required
Run 63 going - beam only background
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS fakemotion script running
High rates on top left dssd again. Cycle left servo motor two times resolved issue
Rates OK. Temps OK. |
618
|
Tue Jun 11 19:44:48 2024 |
OF, SS | Target out and at He |
Target has been moved out and H2 switched off. Changed to He.
Nikos will repair nozzle tomorrow morning. Remote checks until then. |
617
|
Tue Jun 11 12:26:07 2024 |
RSS, JS, JM, CB | Run 62 - Beam optimization + Target change |
Beam optimization and target change |
616
|
Tue Jun 11 08:34:50 2024 |
JM, RSS, JS | Run 61 - beam on target |
New run 61
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target 4E11
Injection ca. 3E7
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 244.95 V
Read value 244.4 V
Collector current 4.31A
Histograms and statistics zero'd. Code reset
Rates OK. Temps OK.
10:24
We lost the beam for 5 minutes. No counting spike observed when we got it back.
1L10
We lost the beam again for 5 minutes, but no counting spike obsevered when we got it back.
11:50
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
Beam intensity - ~ 3.3E7 pps
Target density - 5.14E11 atoms/cm2
12:00 - 12:40
Large fluctuations in the target pressure, going down to 1e-9. We increased the temperature a little but it did not solve the problem.
Increased pressure 0.5 K more. Seems more stable
13:09
FEEs on det 2 stopped working. Checkloaded. Fixed.
No beam. |
Attachment 1: Screenshot_from_2024-06-11_11-47-57.png
|
|
Attachment 2: Screenshot_from_2024-06-11_11-48-20.png
|
|
Attachment 3: Screenshot_from_2024-06-11_11-48-32.png
|
|
Attachment 4: Screenshot_from_2024-06-11_11-48-44.png
|
|
615
|
Tue Jun 11 04:36:17 2024 |
CB, RSS, JS | Run 60 - as 59, left servo in |
05:36
Run started.
Conditions as before, except left servo now really in.
06:24
Run continues OK, file R60_9
Temps OK. Stats OK. Merger OK. Write to disk OK.
System wide checks as usual.
07:30
DSSSD bias & leakage current OK - attachment 4
FEE64 temperatures OK - attachment 5
ADC data item stats - attachment 6
per FEE64 Rate spectra - attachment 7
Beam intensity - ~ 4.8E7 pps
Target density - 7.25E11 atoms/cm2
9:20
DSSSD bias & leakage current OK - attachment 8
FEE64 temperatures OK - attachment 9
ADC data item stats - attachment 10
per FEE64 Rate spectra - attachment 11
Beam intensity - ~ 4E7 pps
Target density - 7E11 atoms/cm2
|
Attachment 1: Screenshot_from_2024-06-11_06-23-36.png
|
|
Attachment 2: Screenshot_from_2024-06-11_06-23-56.png
|
|
Attachment 3: Screenshot_from_2024-06-11_06-24-11.png
|
|
Attachment 4: Screenshot_from_2024-06-11_07-27-43.png
|
|
Attachment 5: Screenshot_from_2024-06-11_07-28-02.png
|
|
Attachment 6: Screenshot_from_2024-06-11_07-28-14.png
|
|
Attachment 7: Screenshot_from_2024-06-11_07-28-22.png
|
|
Attachment 8: Screenshot_from_2024-06-11_09-18-55.png
|
|
Attachment 9: Screenshot_from_2024-06-11_09-19-10.png
|
|
Attachment 10: Screenshot_from_2024-06-11_09-19-20.png
|
|
Attachment 11: Screenshot_from_2024-06-11_09-19-28.png
|
|
614
|
Mon Jun 10 20:31:12 2024 |
JM, AK, EH, CB | Run 59 Beam on target |
21:32
New run 59
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target 4.7E11
Injection ca. 4E7
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 244.85 V
Read value 244.3 V
Collector current 4.31A
Histograms and statistics zero'd. Code reset
Rates OK. Temps OK.
22:44
Screenshots of the above
23:00
Detector 0 shows usual strong noise. Shook twice. Fixed it. Beam loss in correspondence with this event.
Beam mostly recovered a few minutes later.
23:28
Detector 0 shows noise. Shook twice with servo. No result. Shook with pneumatic. Fixed. Some beam instabilities again.
01:00
Temps OK. Rates OK.
Merger OK. Write to disk OK (file 59_13)
01:36
Detector 0 shows usual strong noise. Shook it. Fixed it.
01:46
Detector 0 shows noise. Shook twice with servo. No result. Shook with pneumatic. Fixed.
The noise increase is definitely correlated with spikes in YRT1DT1MK current reading. Unclear what the reading is.
02:36
Target density has been consistentyl above 1E12 recently, very unstable and trending forward. Increased Lakeshore setpoint by 0.5 K.
Density decreases to high 1E11, and appear significantly more stable. E1 pressure reading also decreasing to 1E-1 mbar.
Temps OK. Stats OK. Merger OK. Write to disk OK.
03:58
Temps OK. Stats OK. Merger OK. Write to disk OK.
Target still unstable and now a bit too low density. Trying to slowly lower the temperature in 0.1 K steps.
04:55
Temps OK. Stats OK. Merger OK. Write to disk OK.
Target around 6E11 atoms/cm2, with Lakeshore temperature at 30.8 K. Waiting for it to stabilise.
05:34
Detector 0 noisy. Shook. Fixed it.
Realised I had not moved the detector back at 01:46 after shaking it. Most of this run has been taken with detector 0 fully out, i.e. 18.86 mm.
Moving in and moving to a new run. |
Attachment 1: Screenshot_from_2024-06-10_22-45-11.png
|
|
Attachment 2: Screenshot_from_2024-06-10_22-45-21.png
|
|
Attachment 3: Screenshot_from_2024-06-10_22-46-00.png
|
|
Attachment 4: Screenshot_from_2024-06-10_22-46-17.png
|
|
Attachment 5: Screenshot_from_2024-06-10_22-46-26.png
|
|
Attachment 6: Screenshot_from_2024-06-10_22-46-46.png
|
|
Attachment 7: Screenshot_from_2024-06-10_22-47-47.png
|
|
Attachment 8: Screenshot_from_2024-06-10_22-47-51.png
|
|
Attachment 9: Screenshot_from_2024-06-11_00-57-12.png
|
|
Attachment 10: Screenshot_from_2024-06-11_00-57-20.png
|
|
Attachment 11: Screenshot_from_2024-06-11_03-58-02.png
|
|
Attachment 12: Screenshot_from_2024-06-11_04-54-48.png
|
|
Attachment 13: Screenshot_from_2024-06-11_04-54-59.png
|
|
Attachment 14: Screenshot_from_2024-06-11_04-55-28.png
|
|
Attachment 15: Screenshot_from_2024-06-11_04-55-33.png
|
|
Attachment 16: Screenshot_from_2024-06-11_05-35-24.png
|
|
Attachment 17: Screenshot_from_2024-06-11_05-35-27.png
|
|
Attachment 18: Screenshot_from_2024-06-11_05-35-33.png
|
|
613
|
Mon Jun 10 18:22:47 2024 |
JM | Electron cooler calculations |
Ion beam energy is calculated using Beamcalc (https://web-docs.gsi.de/~lestinsk/beamcalc/electron.php)
Electron current is set to 4.3 mA and can be taken from Grafana
Alpha factor is 50
Ion energy is then adjusted using the cooler voltage
The cooler voltage inserted into BeamCalc is taken from the FC20 voltage divider. However an offset from the space charge correction is required!
This correction is determined experimentally by changing the electron current and finding the cooler voltage which maintains the optimum cooling of the beam.
Attachment 1 shows this plot for 15N1+ at ~0.426 MeV/u
Attachment 2 shows this same plot in comparison with BeamCalc
To obtain the correct energy we need to subtract 2V from the voltage used in BeamCalc
An example is shown in attachment 3
Here to obtain an ion energy of 426.6 keV/u requires a cooler voltage of 246.7 V. But, due to the space charge correction this value should be 244.7 V.
The cooler voltage setpoint should therefore be chosen so that the FC20 voltage divider reads 244.7 V.
The setpoint needs to be ~0.55 V higher than the desired value i.e setpoint of 245.25 V to obtain the required 244.7 V (at least this beamtime it does)
|
Attachment 1: space-charge-2.png
|
|
Attachment 2: space-charge-1.png
|
|
Attachment 3: BeamCalc.png
|
|
612
|
Mon Jun 10 14:26:52 2024 |
EH, AK | Run 58 |
15:30
Merger OK, Tape server OK
ADC data item statistics OK
FEE temperatures look OK
System checks OK
20:20
Merger OK, Tape server OK
ADC data item statistics OK
FEE temperatures look OK
System checks OK |
Attachment 1: Screenshot_from_2024-06-10_15-24-15.png
|
|
Attachment 2: Screenshot_from_2024-06-10_15-22-30.png
|
|
Attachment 3: Screenshot_from_2024-06-10_15-23-28.png
|
|
Attachment 4: Screenshot_from_2024-06-10_15-23-20.png
|
|
Attachment 5: Screenshot_from_2024-06-10_20-21-55.png
|
|
Attachment 6: Screenshot_from_2024-06-10_20-21-48.png
|
|
Attachment 7: Screenshot_from_2024-06-10_20-20-11.png
|
|
Attachment 8: Screenshot_from_2024-06-10_20-21-14.png
|
|
611
|
Mon Jun 10 12:49:56 2024 |
RSS, DB, CB, JM | R58 Space Charge Test |
13:53
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
Beam intensity - ~ 5.0E7 pps
Target density - off
|
Attachment 1: Screenshot_from_2024-06-10_13-52-45.png
|
|
Attachment 2: Screenshot_from_2024-06-10_13-53-04.png
|
|
Attachment 3: Screenshot_from_2024-06-10_13-53-17.png
|
|
Attachment 4: Screenshot_from_2024-06-10_13-53-28.png
|
|
610
|
Mon Jun 10 07:40:47 2024 |
RSS, DB | Beam |
08:26: There is no beam and Gleb is informed.
08:46: Beam is back. |
609
|
Mon Jun 10 05:24:49 2024 |
TD, RSS, DB | R57 |
06:45 New data file G22-201/R57
Right arm at 64.60 mm
Left arm IN at 36.54 mm
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 244.4 V
Read value 243.851 V
Collector current 4.31A
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
Beam intensity - ~ 5.7E7 pps
Target density - 2.91E11 atoms/cm2
8:35
DSSSD bias & leakage current OK - attachment 5
FEE64 temperatures OK - attachment 6
ADC data item stats - attachment 7
per FEE64 Rate spectra - attachment 8
Beam intensity - ~ 4.8E7 pps
Target density - 2.86E11 atoms/cm2
There is no beam since 8:26 and Gleb is informed. 08:46: Beam is back.
10:49
DSSSD bias & leakage current OK - attachment 9
FEE64 temperatures OK - attachment 10
ADC data item stats - attachment 11
per FEE64 Rate spectra - attachment 12
Beam intensity - ~ 4.0E7 pps
Target density - 3.66E11 atoms/cm2
13:18
DSSSD bias & leakage current OK - attachment 13
FEE64 temperatures OK - attachment 14
ADC data item stats - attachment 15
per FEE64 Rate spectra - attachment 16
Beam intensity - ~ 5.0E7 pps
Target density - 5.67E11 atoms/cm2
|
Attachment 1: Screenshot_from_2024-06-10_06-41-37.png
|
|
Attachment 2: Screenshot_from_2024-06-10_06-42-40.png
|
|
Attachment 3: Screenshot_from_2024-06-10_06-43-15.png
|
|
Attachment 4: Screenshot_from_2024-06-10_06-44-38.png
|
|
Attachment 5: Screenshot_from_2024-06-10_08-34-30.png
|
|
Attachment 6: Screenshot_from_2024-06-10_08-34-59.png
|
|
Attachment 7: Screenshot_from_2024-06-10_08-35-23.png
|
|
Attachment 8: Screenshot_from_2024-06-10_08-35-42.png
|
|
Attachment 9: Screenshot_from_2024-06-10_10-46-13.png
|
|
Attachment 10: Screenshot_from_2024-06-10_10-46-31.png
|
|
Attachment 11: Screenshot_from_2024-06-10_10-46-42.png
|
|
Attachment 12: Screenshot_from_2024-06-10_10-46-52.png
|
|
Attachment 13: Screenshot_from_2024-06-10_13-16-00.png
|
|
Attachment 14: Screenshot_from_2024-06-10_13-16-19.png
|
|
Attachment 15: Screenshot_from_2024-06-10_13-16-31.png
|
|
Attachment 16: Screenshot_from_2024-06-10_13-16-40.png
|
|
608
|
Sun Jun 9 22:14:23 2024 |
TD, PM, AG | Run 56 - Data taking |
23:15 New run 56 with
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target 4E11
Injection ca. 4E7
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 244.3 V
Read value 243.757 V
Collector current 4.31A
Rates OK. Temps OK
00.30 DAQ continues file G22-201/R56_9
DSSSD bias & leakage current OK - attachment 1
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
Merger etc - attachment 5
DSSSD bias & leakage current log, CRYRING hall ambient temperature log - attachments 6-7
01.30 Photographs of current CRYRING controls - attachments 8-14
01.30 DAQ continues file G22-201/R56_14
DSSSD bias & leakage current OK - attachment 15
FEE64 temperatures OK - attachment 16
ADC data item stats - attachment 17
per FEE64 Rate spectra
aida16 asics # 1 & # 2 HEC data - attachment 18
aida16 ASIC load check x2 restores normal operation - attachment 19
target 5e+11 stable, beam 4e+7 stable
02.45 beam off - reported to HKR
DAQ continues file G22-201/R56_16
03.00 beam back
beam 4e+7 stable, target 5e+11 stable
DSSSD bias & leakage current OK - attachment 20
FEE64 temperatures OK - attachment 21
ADC data item stats - attachment 22
per FEE64 Rate spectra - attachment 23
04.20 DAQ continues file G22-201/R56_21
DSSSD bias & leakage current OK - attachment 24
FEE64 temperatures OK - attachment 25
ADC data item stats - attachment 26
per FEE64 Rate spectra - attachments 27
Cycle L DSSSDs per https://elog.ph.ed.ac.uk/CARME/603
06.04 DAQ continues file G22-201/R56_21
DSSSD bias & leakage current OK - attachment 28
FEE64 temperatures OK - attachment 29
ADC data item stats - attachment 30
per FEE64 Rate spectra - attachments 31
beam 4e+7 stable, target 4e+11 stable |
Attachment 1: Screenshot_from_2024-06-10_00-23-24.png
|
|
Attachment 2: Screenshot_from_2024-06-10_00-24-07.png
|
|
Attachment 3: Screenshot_from_2024-06-10_00-24-20.png
|
|
Attachment 4: Screenshot_from_2024-06-10_00-25-10.png
|
|
Attachment 5: Screenshot_from_2024-06-10_00-25-38.png
|
|
Attachment 6: Screenshot_from_2024-06-10_00-42-25.png
|
|
Attachment 7: Capture.PNG
|
|
Attachment 8: 20240610_003428.jpg
|
|
Attachment 9: 20240610_003436.jpg
|
|
Attachment 10: 20240610_003446.jpg
|
|
Attachment 11: 20240610_003458.jpg
|
|
Attachment 12: 20240610_003510.jpg
|
|
Attachment 13: 20240610_003518.jpg
|
|
Attachment 14: 20240610_003529.jpg
|
|
Attachment 15: Screenshot_from_2024-06-10_01-32-42.png
|
|
Attachment 16: Screenshot_from_2024-06-10_01-32-58.png
|
|
Attachment 17: Screenshot_from_2024-06-10_01-33-07.png
|
|
Attachment 18: Screenshot_from_2024-06-10_01-33-35.png
|
|
Attachment 19: Screenshot_from_2024-06-10_01-34-12.png
|
|
Attachment 20: Screenshot_from_2024-06-10_02-55-21.png
|
|
Attachment 21: Screenshot_from_2024-06-10_02-55-58.png
|
|
Attachment 22: Screenshot_from_2024-06-10_02-56-13.png
|
|
Attachment 23: Screenshot_from_2024-06-10_02-56-22.png
|
|
Attachment 24: Screenshot_from_2024-06-10_04-22-41.png
|
|
Attachment 25: Screenshot_from_2024-06-10_04-22-55.png
|
|
Attachment 26: Screenshot_from_2024-06-10_04-23-24.png
|
|
Attachment 27: Screenshot_from_2024-06-10_04-23-31.png
|
|
Attachment 28: Screenshot_from_2024-06-10_06-04-17.png
|
|
Attachment 29: Screenshot_from_2024-06-10_06-04-32.png
|
|
Attachment 30: Screenshot_from_2024-06-10_06-04-44.png
|
|
Attachment 31: Screenshot_from_2024-06-10_06-04-52.png
|
|
607
|
Sun Jun 9 13:45:24 2024 |
CB PMH AG | Run 55 - Data taking |
14:45
New run 55 with:
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target 7E11
Injection ca. 5E7
horizontal beam position 8.75 mm
vertical beam position -5mm
Changed electron cooler set point to 244.2 V
Read value 243.66 V
Collector current 4.31A
Rates OK. Temps OK.
15:00
Detector 0 was noisy. Shook arm. Fixed it.
16:15
Detector 0 was noisy. Shook arm. Fixed it.
There is no beam in CRYRING since 16:05.
16:25
The beam is back but it is still a bit unstable and the operators are still trying optimize it.
17:20
We just did standard detector/electronics checks. Everthing looks OK.
17:50
Take screenshots.
20:50
Detector 0 was noisy. Shook arm. Fixed it.
But now detector 2 seems to have lost the Rutherford peak.
Screenshots taken and attached.
21:05
We did "Check ASIC Control" following Carlo's advise. This fixed the detector 2, but the detector 0 got noisy again. Another movement of the detector fixed the noise again.
22:15
Detector 0 was noisy. Shook arm. Fixed it.
23:05
This run has finished. The cooler voltage will be increased by 0.1V for the next run.
The latest spectra and detector/DAQ status are in the attachment. |
Attachment 1: Screenshot_from_2024-06-09_14-46-33.png
|
|
Attachment 2: Screenshot_from_2024-06-09_17-48-00.png
|
|
Attachment 3: Screenshot_from_2024-06-09_17-48-34.png
|
|
Attachment 4: Screenshot_from_2024-06-09_17-48-51.png
|
|
Attachment 5: Screenshot_from_2024-06-09_17-51-55.png
|
|
Attachment 6: Screenshot_from_2024-06-09_17-53-42.png
|
|
Attachment 7: Screenshot_from_2024-06-09_20-54-38.png
|
|
Attachment 8: Screenshot_from_2024-06-09_20-54-59.png
|
|
Attachment 9: Screenshot_from_2024-06-09_20-55-34.png
|
|
Attachment 10: Screenshot_from_2024-06-09_20-56-21.png
|
|
Attachment 11: Screenshot_from_2024-06-09_20-56-35.png
|
|
Attachment 12: Screenshot_from_2024-06-09_20-56-41.png
|
|
Attachment 13: Screenshot_from_2024-06-09_23-09-38.png
|
|
Attachment 14: Screenshot_from_2024-06-09_23-09-53.png
|
|
Attachment 15: Screenshot_from_2024-06-09_23-10-26.png
|
|
Attachment 16: Screenshot_from_2024-06-09_23-10-37.png
|
|
Attachment 17: Screenshot_from_2024-06-09_23-10-43.png
|
|
Attachment 18: Screenshot_from_2024-06-09_23-10-54.png
|
|
606
|
Sun Jun 9 09:45:27 2024 |
JM, CB | Beam-Target Overlap |
Target density this morning had stabalised at 7e11 at/cm2
This is sufficient to test the beam/target overlap using the lifetime of the beam in the ring.
Previous attempt at this method was done at 1.5e11 at/cm2 where lifetime from residual gas and target are essentially the same.
Beam set to bunched. Beam position varied by 1mm and the number of particles in the ring taken from Grafana plots. Particles at injection compared with at dump.
Significantly more beam loss at > 8 mm beam position with highest value at 8.75 mm. This is the maximum we can move the beam.
Test repeated with no target. Same beam loss rate for all positions. We see a clear difference with the target only at positions > 8mm.
Test repeated with original electron cooler voltage Gleb tuned with last night. Same outcome -> cooler voltage has no significant impact on overlap (for 0.1V at least)
Overlap using count rate over 7.5 minutes also tested. DSSD 1 (top right) used as gauge as no beam stripe is seen in this detector.
At horizontal position 4 mm (previous estimation of overlap) we saw a small increase in counts around 4.7 MeV with the target off compared to target on (76 vs 57)
At horizontal position 8.75 mm we saw a large decrease in counts around 4.7 MeV with target off compared to target on (95 vs 290)
It appears clear the overlap is at 8.75 mm. Future runs will use this as horizontal beam position. |
Attachment 1: Beam-overlap.png
|
|
605
|
Sun Jun 9 08:30:49 2024 |
JM, CB | Run 54 - beam on target |
09:30
New run 54 with:
Electron cooler setpoint 244.1 V
Electron cooler read 243.55 V
Collector current 4.3 uA
Right arm at 64.60 mm
Left arm IN at 36.54 mm
MBS working - hopefully
Target 7E11
Injection ca. 5E7
horizontal beam position changed to 8.75 mm
vertical beam position still -5mm
10:30
No beam
ADC stats increased to 250k again same time as beam lost
Detector shake resolved problem
System checks OK
10:35
Beam back
12:17
All system checks OK
12:29
DSSD top left noisy again. Detector shake resolves issuie
14:02
Running smoothly. Temps OK. Stats OK, but write to disk rate x10 usual for unclear reasons.
Target mostly stable. Beam OK, missing some injections occasionally.
14:25
Detector 0 noisy. Shook. Fixed it.
|
Attachment 1: Screenshot_from_2024-06-09_14-00-21.png
|
|
Attachment 2: Screenshot_from_2024-06-09_14-00-30.png
|
|
Attachment 3: Screenshot_from_2024-06-09_14-00-41.png
|
|
Attachment 4: Screenshot_from_2024-06-09_14-01-26.png
|
|
Attachment 5: Screenshot_from_2024-06-09_14-01-40.png
|
|
Attachment 6: Screenshot_from_2024-06-09_14-01-49.png
|
|
Attachment 7: Screenshot_from_2024-06-09_14-01-56.png
|
|
604
|
Sat Jun 8 20:32:17 2024 |
LB, JM | Run 52 - beam on target |
New run 52 with:
Electron cooler setpoint 244.2 V
Electron cooler read 243.66 V
Collector current 4.3 uA
Right arm at 64.60 mm
Left arm IN at 36.54 mm
Target 2E11 fluctuating
Injection ca. 5E7
22;00
Detectors moved out while HKR plays with the beam injection to improve its stability
22;56
All system checks good. Detectors still out. Stats in attachment 1
23:08
HKR still has to call, but I have put detectors back in since they are only operating on injection.
Noticed that from time to time the target density still sparks to E12, and this corresponds to when the temperature drops.
23:42
HKR called back, they found the problem and fixed it. Beam seems more stable, with injections at ca. 5E7
23:50
DSSD0 high stats, cycled the motors to clear them.
00:18
All system checks are fine. Attachment 2-3 with stats and a spectrum
00:38
Spikes in the target got more frequent. Increased temperature to 30.5K and monitor if it helps stabilizing it.
01:52
All checks OK. Target behaving, density 5-8E11.
Attachments 4-5
03:10
System checks OK.
Target consistent
Some beam outages which were quickly fixed by HKR
05:03
System checks OK.
Target consistent
07:00
Lost beam. Detectors moved out
Will likely end run once beam is back and change energy. Do not use data past 7 am
All system checks OK |
Attachment 1: Screenshot_from_2024-06-08_22-56-26.png
|
|
Attachment 2: Screenshot_from_2024-06-09_00-17-44.png
|
|
Attachment 3: Screenshot_from_2024-06-09_00-18-46.png
|
|
Attachment 4: Screenshot_from_2024-06-09_01-50-54.png
|
|
Attachment 5: Screenshot_from_2024-06-09_01-51-27.png
|
|
603
|
Sat Jun 8 17:46:12 2024 |
TD | [How To] Cycle Left DSSSDs |
Interactive Motion Program [workspace 6, display 2]
c stop current command(s)
l s by -20 mm confirm y at prompt
l s by 20 mm confirm y at prompt
read FakeMotion.dat confirm y at prompt |
602
|
Sat Jun 8 14:49:14 2024 |
TD | R51 - contd |
15.40 DSSSD bias & leakage current OK - attachments 1-2
log shows variations due to ambient temperature changes in CRYRING hall
FEE64 temperatures OK - attachment 3
WR timestamps OK - attachment 4
System wide checks Ok *except* global clock (aida16) - attachments 5-6
ADC data item stats OK - attachment 7
per FEE64 Rate spectra - attachment 8
per FEE64 1.8.L spectra - attachments 9-10
have not been cleared in some time probably - will zero histograms & stats for later review
per FEE64 1.8.W spectra - 20us FSR - attachments 11-12
Merger, TapeServer etc - attachments 13-14
data file G22-222/R51_15
Motion control - attachment 15
16.01 all histograms & stats zero'd
16.43 online per DSSSD energy spectra - attachment 16
photographs of CRYRING beam & target diagnostics - attachments 17-22
18.45 Left DSSSDs cycled to clear high rates (c. 250k/FEE64) aida01-aida04 per https://elog.ph.ed.ac.uk/CARME/603
18.49 DAQ continues data file G22-201/R51_27
DSSSD bias & leakage current OK - attachment 23
FEE64 temperatures OK - attachment 24
ADC data item stats OK - attachment 25
per FEE64 Rate spectra - attachment 26
online per DSSSD energy spectra - attachment 27
19.00 Beam less stable but most cycles 5e+7 or higher
Target peaking c. 1e12 dropping to c. 6e11
19.09 CRYRING hall temperature increasing, DSSSD leakage current increasing - attachments 28-29
20.06 Beam less stable most cycles 1-2e+7 or higher
Target c. 1-2e12
20.15 JM/CB advise changing Lakeshore 336 Temp Controller set point from 29k to 29.5K - done
Average pressure decreases but rapid variations still observed - see attachment 30
21.00 contacted HKR to improve beam stability - they were alreday working on it but did not know what was causing the problem - will contact on-call CRYRING expert
cycled L DSSSD to reset rates
21,10 beam 0ff - DSSDs OUT
Increased target temperature to 30K to solve instability that developed again up to E12 density range.
|
Attachment 1: Screenshot_from_2024-06-08_15-40-56.png
|
|
Attachment 2: Screenshot_from_2024-06-08_15-41-11.png
|
|
Attachment 3: Screenshot_from_2024-06-08_15-41-44.png
|
|
Attachment 4: Screenshot_from_2024-06-08_15-42-10.png
|
|
Attachment 5: Screenshot_from_2024-06-08_15-42-18.png
|
|
Attachment 6: Screenshot_from_2024-06-08_15-42-26.png
|
|
Attachment 7: Screenshot_from_2024-06-08_15-42-47.png
|
|
Attachment 8: Screenshot_from_2024-06-08_15-47-40.png
|
|
Attachment 9: Screenshot_from_2024-06-08_15-46-08.png
|
|
Attachment 10: Screenshot_from_2024-06-08_15-46-57.png
|
|
Attachment 11: Screenshot_from_2024-06-08_15-44-09.png
|
|
Attachment 12: Screenshot_from_2024-06-08_15-45-20.png
|
|
Attachment 13: Screenshot_from_2024-06-08_15-48-34.png
|
|
Attachment 14: Screenshot_from_2024-06-08_15-48-49.png
|
|
Attachment 15: Screenshot_from_2024-06-08_15-48-57.png
|
|
Attachment 16: Screenshot_from_2024-06-08_16-42-56.png
|
|
Attachment 17: 20240608_160331.jpg
|
|
Attachment 18: 20240608_160545.jpg
|
|
Attachment 19: 20240608_160526.jpg
|
|
Attachment 20: 20240608_160516.jpg
|
|
Attachment 21: 20240608_160508.jpg
|
|
Attachment 22: 20240608_160536.jpg
|
|
Attachment 23: Screenshot_from_2024-06-08_18-49-51.png
|
|
Attachment 24: Screenshot_from_2024-06-08_18-50-10.png
|
|
Attachment 25: Screenshot_from_2024-06-08_18-50-29.png
|
|
Attachment 26: Screenshot_from_2024-06-08_18-50-48.png
|
|
Attachment 27: Screenshot_from_2024-06-08_18-54-00.png
|
|
Attachment 28: Capture.PNG
|
|
Attachment 29: Screenshot_from_2024-06-08_19-08-58.png
|
|
Attachment 30: 20240608_202235.jpg
|
|
601
|
Sat Jun 8 10:13:52 2024 |
CB, JM, TD | Run 50/51 - data taking |
11:13
ML enabled ring signals remotely.
Used FakeMotion.dat (read FakeMotion.dat from InteractiveMotion.x).
Started run 50.
Cooler voltage moved up by 0.1 V. Did not refocus.
Setpoint = 244.4 V
Read = 243.85 V
Collector current = 4.3 mA
Target thickness 3.4E11 atoms/cm2 now stable
Injection 1-4E7 ions
Realised motors were not in.
Moved detectors in (36.54 / 64.60 mm)
Started run 51. Ignore run 50.
11:46
Realised the left half of DSSD BL was not counting. Checkloaded. Fixed it.
12:00
Fire alarm? Stopped later. EM thinks it is a test.
13:00
Run continues.
Rates OK. Merger OK. Writing to disk back to 200 kB/s. Nothing changed, reasons unclear.
FakeMotion.dat continues
System wide checks as before
Temperatures OK
13:36
Beam lost. Called HKR. Detectors out. Run continues. FakeMotion stopped
14:09
Beam is back. Detectors back in. FakeMotion.dat restarted.
15.10 High rates observed aida01-auida04 ( c. 250k/FEE64)
Cycled Left Servo
Rates normal
|
Attachment 1: Screenshot_from_2024-06-08_12-58-08.png
|
|
Attachment 2: Screenshot_from_2024-06-08_12-58-19.png
|
|
Attachment 3: Screenshot_from_2024-06-08_12-58-36.png
|
|
Attachment 4: Screenshot_from_2024-06-08_12-58-53.png
|
|
Attachment 5: Screenshot_from_2024-06-08_12-59-18.png
|
|
Attachment 6: Screenshot_from_2024-06-08_12-59-26.png
|
|
Attachment 7: Screenshot_from_2024-06-08_12-59-38.png
|
|
Attachment 8: Screenshot_from_2024-06-08_12-59-50.png
|
|
600
|
Sat Jun 8 06:13:21 2024 |
CB | Run 47/48/49 - target issues & tests |
07:00
After putting the target back, we observe strong periodic (5 s) fluctuations going from 3E11 to 5E12. The reason is unclear. One may warm up the target to solve this, but will ask Nikos later instead.
The target may be a bit too thick for our purposes, and the luminosity will vary greatly depending on injection vs. target fluctuations timing.
Rates OK. Temperatures OK. Merger OK.
System wide checks as before.
07:30
Moved detectors fully out to check elastic and signal rate in this configuration.
Half of DSSD BR was missing. Checkloaded. Solved.
Moved to run 48. Target still unstable.
08:23
Beam issues. Called HKR. Target out.
09:00
Still no beam. Increased target temperature to 29K from 28.5K. It seems the fluctuations disappeared.
Rates as before.
10:22
Beam might be back but people are checking the steerer. Target in. Started run 49. Detectors still out.
10:13
Some beam. HKR is still working on it.
Data rate up to 2 MB/s. Merger events merged matches. However Stats do not seem to have increased. This is the second time I observe this. |
Attachment 1: Screenshot_from_2024-06-08_07-15-27.png
|
|
Attachment 2: Screenshot_from_2024-06-08_07-15-33.png
|
|
Attachment 3: Screenshot_from_2024-06-08_10-13-16.png
|
|
Attachment 4: Screenshot_from_2024-06-08_10-13-23.png
|
|
Attachment 5: Screenshot_from_2024-06-08_10-13-26.png
|
|
599
|
Sat Jun 8 00:14:39 2024 |
LB | Run 46 - background with beam |
RUN 46- started at 00:50
Horizontal beam position = 4.0 mm, vertical beam position = -5.0 mm.
Detectors are Left arm OUT = 36.64, right arm IN = 64.60 mm
Injection at ca. 6E7
Target density 6-7E9
-----
00:51
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 1-2
01:19
Attachments 3-4 waveforms. DSSD0 stats fine
01:33
DSSD0 stats fine
Attachments 5-6 spectra and xy, but I am not sure at which time these are cause I am stupid and apparently the AIDAsort code was not running....
01:56
Restarted the AIDAsort code and noted that stats in DSSD0 were bad again. Another shake. This time no change to the beam on my side.
2:43
All checks fine. Attachments 7-10 (also spectra)
4:01
Notice stats on DSSD0 went bad again. Attachment 11. Gave detector a shake.
Now all system checks OK (Attachements 12-14)
4:19
Just noticed target is oscillating a bit, hitting 1E10. I moved it further in X and Y. Seems to not go below 6E9 in any case.
NOTE: target was on at x=-19899 y=500.
5:17
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 15-18 (also waves)
5:53
Notice stats on DSSD0 went bad again. Gave detector a shake.
6:10
Stats ok in attachment 19, but
Noticed DSSD3 has started to get only a fate of 140 nstead of previous 5500is (similar to what DSSD2 has done earlier- see previous elog). Attachment 20 for the rates.
I have therefore made the Check ASIC control test from the ASIC control tab- attachment 21 with results.
DSSD3 back to normal operations - attachment 22 |
Attachment 1: Screenshot_from_2024-06-08_00-51-42.png
|
|
Attachment 2: Screenshot_from_2024-06-08_00-51-53.png
|
|
Attachment 3: Screenshot_from_2024-06-08_01-19-58.png
|
|
Attachment 4: Screenshot_from_2024-06-08_01-21-07.png
|
|
Attachment 5: Screenshot_from_2024-06-08_01-32-35.png
|
|
Attachment 6: Screenshot_from_2024-06-08_01-33-28.png
|
|
Attachment 7: Screenshot_from_2024-06-08_02-42-36.png
|
|
Attachment 8: Screenshot_from_2024-06-08_02-43-02.png
|
|
Attachment 9: Screenshot_from_2024-06-08_02-43-12.png
|
|
Attachment 10: Screenshot_from_2024-06-08_02-43-35.png
|
|
Attachment 11: Screenshot_from_2024-06-08_03-59-55.png
|
|
Attachment 12: Screenshot_from_2024-06-08_04-03-02.png
|
|
Attachment 13: Screenshot_from_2024-06-08_04-03-16.png
|
|
Attachment 14: Screenshot_from_2024-06-08_04-03-45.png
|
|
Attachment 15: Screenshot_from_2024-06-08_05-15-37.png
|
|
Attachment 16: Screenshot_from_2024-06-08_05-15-48.png
|
|
Attachment 17: Screenshot_from_2024-06-08_05-16-38.png
|
|
Attachment 18: Screenshot_from_2024-06-08_05-17-07.png
|
|
Attachment 19: Screenshot_from_2024-06-08_06-11-14.png
|
|
Attachment 20: Screenshot_from_2024-06-08_06-11-24.png
|
|
Attachment 21: Screenshot_from_2024-06-08_06-14-01.png
|
|
Attachment 22: Screenshot_from_2024-06-08_06-14-14.png
|
|
598
|
Fri Jun 7 22:33:13 2024 |
LB | Run 45 - tests on beam-target overlap |
Tried to double check beam-target overlap by changing beam horizontal coordinate.
In the tablethe counts in the 4000-5200 keV region in each of the detectors are recorded. Counts were taken for a total time of 5 minutes per beam position. Attached are the xy plots.
I think the tests are inconclusive given that the beam in the first three points were not ideal, with many low intensity injections. Moreover, changing the beam often ends up causing the stats in DSSD0 to rise significantly (consequentely needing to shake the detector to go back to normal operation).
horizontal |
DSSD0 |
DSSD1 |
DSSD2 |
DSSD3 |
Comments |
4.5 mm |
2966 |
60 |
3826 |
1880 |
stats went up at the end of the run (attachment 1 is the xy plot, attachment 1 is the multiplicity showing the noise). |
5 mm |
3826 |
68 |
1945 |
1719 |
stats ok. Attachment 3 |
5.5 mm |
2443 |
64 |
1 |
1352 |
stats ok. beam bad, called 2222, fixed it. Attachment 4 |
5.5 mm good beam |
3587 |
57 |
6 |
2057 |
repeated measurment with good beam. Suspected something wrong with DSSD2 |
4.5 mm good beam |
5132 |
64 |
4 |
2153 |
stats bad at the end, confirmed something wrong DSSD2, Jordan did some ASIC check load and made it go back to normal operation. Attachment 5. |
After fixing DSSD2, statsin DSSD0 went up again. Stopped the test after shaking again the detector.
----
I have put back the beam at 4mm horizontal. I switched off the target by moving it to x=-10000--->x=-5000 (previosuly X=-19800). Denisity went down to 5E9. However, I decided under Jordan's suggestion to make another test and I put the target back to x=-19800: interestingly the density went up to 5E12 and start oscillating for a while between 5E12 and 5E11.
I did a 10 minute check of counts in the 4000-5200 keV region with this configuration, then switched off the target and did another 10 minutes test. Results:
horizontal 4 mm |
DSSD0 |
DSSD1 |
DSSD2 |
DSSD3 |
target on |
9719 |
163 |
7892 |
5210 |
targte off |
6579 |
108 |
6092 |
3496 |
|
Attachment 1: Screenshot_from_2024-06-07_23-11-06.png
|
|
Attachment 2: Screenshot_from_2024-06-07_23-12-17.png
|
|
Attachment 3: Screenshot_from_2024-06-07_23-32-22.png
|
|
Attachment 4: Screenshot_from_2024-06-07_23-43-43.png
|
|
Attachment 5: Screenshot_from_2024-06-07_23-50-54.png
|
|
597
|
Fri Jun 7 15:53:35 2024 |
TD | DSSD leakage current increase - temperature increase in CRYRING hall |
Re-increase in DSSSD leakage current c. 14-15.00 6.6.24
There was a significant temperature spike in the CRYRING hall on the afternoon of 6.6.24 which has, partly, recovered - see attachment 1
Quote: |
Started run 39.
We have the 15N+ beam with 426 keV/nucleon. We see a peak in detector top left (mostly) and bottom right, at 4600 keV. We think it is the beam (nominally 6400 keV) after going through silicon dead layer, which however seems to be thicker than expected (expected 0.7 um, but given 1.4 MeV/um energy loss it seems to be > 1 um).
To understand what was going on we first played with detector and beam position.
At 17:28 detectors are fully out, while we are playing with the electron cooler to try to remove this beam halo hitting the detector.
Detector DSSD0 top left periodically seems to get noisier (statistics up to >2E5) and we need to move the detector in and out to go back to normal operations.
------------------
The beam halo in top left detector, as the detector gets moved in, gets elongated in the y direction instead of the x direction, as expected and previosuly observed.
Run 40 started.
18:36
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 1-4
19:43
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 5-8
21:15
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 9-11
00;25
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
-----------------------------------
Movements and observation in xy plot:
- Move right servo in by 20 mm and we see the halo in the top right moving accordingly (attachment 12)
-Move right pneumatic in, saw big increase on bottom right (attachment 13)
-moved beam position down vertical -6 mm and we see reduced beam spot on top left and more on bottom left
-----------------------------------
Beam - target overlap:
We have yet to obtain an overlap
We cannot do this using the beam lifetime as from beam calc the lifetime from the ring is comparable to the lifetime with a target of 1e11 at/cm2
We have tried using Rutherford. However, we will have to move the detectors IN further as the Rutherford peaks at ~3.8 deg.
Possibly we may require other overlap detections from the ring?
I also think the beam requires some optimisation, electron cooler voltage seems to be fluctuating a lot and we see some features on the detectors when moving the beam / detectors as mentioned above.
02:25
The beam intensity has decreased signficantly (by about a factor of five) since about an hour. Operators tried different things, also consulted with Frank Herfurth, but they could not solve the problem.
There is no device which indicates malfunction.
So, during the rest of the night shift we will continue searching for the overlap (of the beam with the target) with the available beam intensity.
03:30
The beam intensity has improved without any aparent intervention.
We have started a new search for the overlap with 20 minute runs per horiznotal beam position.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
03:40
After changing the horizontal beam position from 0 mm to 1 mm, the bottom right detector lost the Rutherford peak and its position spectrum looks to be half empty.
Moving it OUT and IN again did not change the situation.
There is no reason to think that this behavior has been caused by moving the beam by just 1 mm.
04:10
The beam intensity has dropped again without any apparent reason. So, at the moment we can not continue search for the overlap.
The bottom right detector seems to be counting normally again (also without any apparent reason).
06:45
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
07:18
Lost beam again. Gleb is looking for it. Moved right detector out temporarily.
System wide checks as before.
Merger OK, Tape Server OK.
Temperatures OK.
Rates currently OK.
Leakage current decreasing.
10:10
Beam is back. Target is unusually thick at 3-5E11
Checking beam overlap.
Merger & tape server OK.
Temps OK.
Rates OK, a bit higher in 01 and 04.
|
|
Attachment 1: Capture.PNG
|
|
596
|
Fri Jun 7 14:09:04 2024 |
JM, CB, DR, CN, LB | Run 44 - 15N Data Taking |
15:35
Electron cooler voltage set point = 244.3 V
Electron cooler voltage readout = 243.75 V
Collector current = 4.29 mA
All system checks OK
Cycle time = 6 s
Detector positions: left = 36.54 mm, right = 64.60 mm
Target density = 2.5e11
Injection = 3 - 4 e7 pps
15:50
Injection intensity dropped to 1e7 pps
15:54
Injection intensity back to ~4e7 pps
17:30
All system checks OK
Target at 3.7e11
Beam dropped to 1e7 pps
17:34
Beam back to 3-4 e7 pps
19:10
Beam started varying between 1e7 and 4e7. The operators briefly closed the Faraday cup (~30 seconds), the beam is now back to ~5e7
19:25
All system checks OK
Target at 2.6e11
Beam at 5e7
21:25
All system checks OK
Target at 2.7e11
Beam at 4-5 e7
|
595
|
Fri Jun 7 10:05:40 2024 |
CB | Run 41/42/43 - 15N data taking start |
11:05
Confirmed overlap is at 4 mm under new settings.
For unclear reasons, the bottom-left detector is not seeing the halo anymore since around 10:00. Rutherford still visible and if the left arm is moved in the counts at 5 MeV become apparent.
l s by 20 mm, r p in -> 36.5 mm / 64.6 mm
Target thickness 3E11 atoms/cm2. Beam intensity few E7 at injection. Coasting beam mode.
Data rate x10 compared with earlier. Stats as before - the reason is unclear.
Temps & Merger OK. We should be able to tolerate these rates.
Moved detectors in/out to make sure we were not clipping the beam. A few seconds of data may be present in R41_9
11:30
Checkloaded. Detector 2 is now counting more.
Moved to run 42. No other changes.
13:00
Realised cooler voltage was being read via fault g35 divider. Beam stopped
15:00
Switched to F20. Beam back on. Moved to run 43
Electron cooler voltage = 243.155 V
Collector current = 4.29 mA
Beam energy was slightly off, will try again |
Attachment 1: Screenshot_from_2024-06-07_11-08-08.png
|
|
Attachment 2: Screenshot_from_2024-06-07_11-08-21.png
|
|
Attachment 3: Screenshot_from_2024-06-07_11-08-57.png
|
|
Attachment 4: Screenshot_from_2024-06-07_11-09-10.png
|
|
Attachment 5: Screenshot_from_2024-06-07_11-09-56.png
|
|
Attachment 6: Screenshot_from_2024-06-07_11-10-05.png
|
|
Attachment 7: Screenshot_from_2024-06-07_11-11-23.png
|
|
Attachment 8: Screenshot_from_2024-06-07_11-11-26.png
|
|
594
|
Thu Jun 6 16:23:10 2024 |
LB CB JM | Run 39 - Run 40 15N |
Started run 39.
We have the 15N+ beam with 426 keV/nucleon. We see a peak in detector top left (mostly) and bottom right, at 4600 keV. We think it is the beam (nominally 6400 keV) after going through silicon dead layer, which however seems to be thicker than expected (expected 0.7 um, but given 1.4 MeV/um energy loss it seems to be > 1 um).
To understand what was going on we first played with detector and beam position.
At 17:28 detectors are fully out, while we are playing with the electron cooler to try to remove this beam halo hitting the detector.
Detector DSSD0 top left periodically seems to get noisier (statistics up to >2E5) and we need to move the detector in and out to go back to normal operations.
------------------
The beam halo in top left detector, as the detector gets moved in, gets elongated in the y direction instead of the x direction, as expected and previosuly observed.
Run 40 started.
18:36
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 1-4
19:43
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 5-8
21:15
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 9-11
00;25
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
-----------------------------------
Movements and observation in xy plot:
- Move right servo in by 20 mm and we see the halo in the top right moving accordingly (attachment 12)
-Move right pneumatic in, saw big increase on bottom right (attachment 13)
-moved beam position down vertical -6 mm and we see reduced beam spot on top left and more on bottom left
-----------------------------------
Beam - target overlap:
We have yet to obtain an overlap
We cannot do this using the beam lifetime as from beam calc the lifetime from the ring is comparable to the lifetime with a target of 1e11 at/cm2
We have tried using Rutherford. However, we will have to move the detectors IN further as the Rutherford peaks at ~3.8 deg.
Possibly we may require other overlap detections from the ring?
I also think the beam requires some optimisation, electron cooler voltage seems to be fluctuating a lot and we see some features on the detectors when moving the beam / detectors as mentioned above.
02:25
The beam intensity has decreased signficantly (by about a factor of five) since about an hour. Operators tried different things, also consulted with Frank Herfurth, but they could not solve the problem.
There is no device which indicates malfunction.
So, during the rest of the night shift we will continue searching for the overlap (of the beam with the target) with the available beam intensity.
03:30
The beam intensity has improved without any aparent intervention.
We have started a new search for the overlap with 20 minute runs per horiznotal beam position.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
03:40
After changing the horizontal beam position from 0 mm to 1 mm, the bottom right detector lost the Rutherford peak and its position spectrum looks to be half empty.
Moving it OUT and IN again did not change the situation.
There is no reason to think that this behavior has been caused by moving the beam by just 1 mm.
04:10
The beam intensity has dropped again without any apparent reason. So, at the moment we can not continue search for the overlap.
The bottom right detector seems to be counting normally again (also without any apparent reason).
06:45
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
07:18
Lost beam again. Gleb is looking for it. Moved right detector out temporarily.
System wide checks as before.
Merger OK, Tape Server OK.
Temperatures OK.
Rates currently OK.
Leakage current decreasing.
10:10
Beam is back. Target is unusually thick at 3-5E11
Checking beam overlap.
Merger & tape server OK.
Temps OK.
Rates OK, a bit higher in 01 and 04. |
Attachment 1: Screenshot_from_2024-06-06_18-33-41.png
|
|
Attachment 2: Screenshot_from_2024-06-06_18-34-15.png
|
|
Attachment 3: Screenshot_from_2024-06-06_18-34-35.png
|
|
Attachment 4: Screenshot_from_2024-06-06_18-34-49.png
|
|
Attachment 5: Screenshot_from_2024-06-06_19-42-49.png
|
|
Attachment 6: Screenshot_from_2024-06-06_19-42-57.png
|
|
Attachment 7: Screenshot_from_2024-06-06_19-43-10.png
|
|
Attachment 8: Screenshot_from_2024-06-06_21-14-02.png
|
|
Attachment 9: Screenshot_from_2024-06-06_21-14-17.png
|
|
Attachment 10: Screenshot_from_2024-06-06_21-14-48.png
|
|
Attachment 11: Screenshot_from_2024-06-06_23-28-29.png
|
|
Attachment 12: Screenshot_from_2024-06-06_23-42-18.png
|
|
Attachment 13: Screenshot_from_2024-06-07_07-20-12.png
|
|
Attachment 14: Screenshot_from_2024-06-07_10-11-17.png
|
|
Attachment 15: Screenshot_from_2024-06-07_10-11-27.png
|
|
593
|
Thu Jun 6 05:44:45 2024 |
DR YL DB LB CB JM | Run 38 - 15N Tests |
06:45
All system checks OK
Target density 3.4e11
11:50
System checks OK.
No beam in the ring yet. Althoug some injection may be taking place at low intensities
Target density appears much more stable than before.
Density currently just above 1e11 at/cm2
Note maintanace is required to appc025 so anydesk is currently down.
13:13
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 1-5 (also waveforms)
15:00
Merger OK, Tape server OK
ADC data item statistics DO NOT looks as before. NOT OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachments 6-8
15:16
Notice the statistics for aida01-aida04 (DDSD 0, top left) were counting extremely high in the previous check (attachment 6). Previous rate was 0-1 counts.
We tried ASIC check load three times but not effect on the rate.
We then tried 'shaking the detectors' by moving the left pneumatic in and out again.
Rates go back to normal levels (0-1 counts)
|
Attachment 1: Screenshot_from_2024-06-06_13-05-55.png
|
|
Attachment 2: Screenshot_from_2024-06-06_13-06-24.png
|
|
Attachment 3: Screenshot_from_2024-06-06_13-07-46.png
|
|
Attachment 4: Screenshot_from_2024-06-06_13-08-40.png
|
|
Attachment 5: Screenshot_from_2024-06-06_13-09-03.png
|
|
Attachment 6: Screenshot_from_2024-06-06_14-59-23.png
|
|
Attachment 7: Screenshot_from_2024-06-06_14-59-34.png
|
|
Attachment 8: Screenshot_from_2024-06-06_14-59-49.png
|
|
592
|
Wed Jun 5 13:34:02 2024 |
JM | Run 37 - Change in thresholds |
14:20
Target nozzle currently being replaced. No beam
We have decided to switch to 15N beam from now on.
Beam energy for 15N is significantly higher than deuteron beam so we have increased the thresholds (slow comparator) slightly for all detectors to 500 keV (0x32).
ADC data item rates - attachment 1
Run 37 started. Alpha background
Data rate ~ 200 kb/sec
17:44
All system checks OK
20:00
All system checks OK
23:30
All system checks OK
01:25
All system checks OK
03:25
All system checks OK.
Target is stable at 3.5e11, with T1=29 K
05:30
All system checks OK
Target density has slowly drifted down, and is now 1.2e11 |
Attachment 1: Screenshot_from_2024-06-05_14-36-44.png
|
|
591
|
Wed Jun 5 13:21:45 2024 |
JM, CB | Run 36 - pulser walkthrough |
14:00
Access to the cave as Nikos and Andreas have begun work on the target.
Run 36 started - pulser walkthrough.
Pulser amplitudes of 8, 7, 6, 5, 4, 3, 2, 1
Attachment 1 - pn channels
Attachment 2 - nn channels
Attachment 3 - pn channels (zoom)
Attachment 4 - nn channels (zoom)
Best pn channel looks like AIDA09 - pulser width 63
Worst pn channel looks like AIDA14 - pulser width 148
Best nn channel looks like AIDA12 - pulser width 58
Worst nn channel looks like AIDA16 - pulser width 246
This is approximately the same as pulser widths before the beam time. |
Attachment 1: Screenshot_from_2024-06-05_14-20-18.png
|
|
Attachment 2: Screenshot_from_2024-06-05_14-21-26.png
|
|
Attachment 3: Screenshot_from_2024-06-05_14-27-43.png
|
|
Attachment 4: Screenshot_from_2024-06-05_14-25-44.png
|
|
590
|
Wed Jun 5 08:34:04 2024 |
JM. DB, PC | Target nozzle change |
09:30
Target density has not improved despite efforts last night. Mid 10 - low 11 density range. We will try to change the nozzle.
Target nozzle moved to the out position.
HKR allowed access to the cave to turn the compressor off. Target nozzle now warming up.
Internal cave work on changing nozzle expected to start at ~11. In the meantime we will let Wolfgang experiment with the beam settings to try to improve injection intensity.
Detectors have been moved out to fully out position
Run 35 started. |
589
|
Tue Jun 4 19:19:26 2024 |
TD | [How To] Recover DAQ when one FEE64 stops sending data |
1) STOP DAQ [workspace 2, display 1, browser tab 'Run Control']
all FEE64s should change status from 'going' to 'stopped' *except* whichever FEE64(s) have a problem - typically their status is 'going' or 'error'
2) Restart Merger by selecting the Merger icon [workspace 3, display 2]
terminal window showing the startup will popup
3) Setup Merger by selecting 'SETUP' [workspace 3, display 1, browser tab 'NewMerger Control']
4) Start Merger by selecting 'GO' [workspace 3, display 1, browser tab 'NewMerger Control']
all data links will be inactive (red)
5) Disable data transfer by selecting 'Data transfer Disable #1 all' [workspace 2, display 1, browser tab 'Run Control']
6) Start DAQ
Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
check that DAQ is *actually* going - if not repeat 'GO'
7) Check WR timestamps by selecting 'Collect all timestamps' [workspace 2, display 1, browser tab 'GSI White Rabbit Control']
readout times will be displayed for each FEE64
check that the readout times are monotonically increasing as you read down the list of readout times - if not, contact expert support
8) Stop DAQ
Start DAQ by selecting 'STOP' [workspace 2, display 1, browser tab 'Run Control']
check that DAQ has *actually* stopped - if not repeat 'STOP
9) Enable data transfer to Merger and TapeServer and start DAQ
Enable data transfer by selecting 'Enable data transfer Enable #1 All' [workspace 2, display 1, browser tab 'Run Control']
Start DAQ by selecting 'GO' [workspace 2, display 1, browser tab 'Run Control']
check that DAQ is *actually* going - if not repeat 'GO'
10) Check data is being transferred to Merger and TapeServer
Select 'Reload' [workspace 3, display 1, browser tab 'NewMerger Control']
you should see a non-zero 'current merged data rate' and all links should show as green at least some of the time (requires observation over several reloads)
Select 'Reload' [workspace 3, display 1, browser tab 'TapeServer']
you should see 'Blocks written' and 'kb written' counters increase
11) Check AIDA data is being forwarded from the TapeServer to MBS [workspace 3, display 2, command terminal]
12) Restart should now have been successfully completed. Please inform the 'on shift' crew' that AIDA is forwarding data.
If not, please request expert assistance. |
588
|
Tue Jun 4 18:08:04 2024 |
Boudefla Dounia - CB - LB - SD | Run 34 |
19:08
Beam energy = 3 MeV.
Horizontal beam position = 4.0 mm, vertical beam position = 0.0 mm.
Target density ~ fluctuating
Beam intensity at inj ~ 1.7e7
Detectors are positioned IN. Left arm = 36.54, right arm = 64.60 mm
MBS signals saved from motors code
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 6 minutes.
Pressure in YR09 E target ~ 4.36e-11 mbar.
Merger error recovered
White rabbit time stamps
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
21:27
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
23:00
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Statistics attachment 5
Merger attachment 6
00:42
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Density is oscillating between E10 and low E11.
attachment 7 is statistics, attachment 8 is temperature, attachment 9 is merger, attachment 10 is spectrum browser.
02:20
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Density is oscillating between E10 and low E11. ( last 15 minutes below 2E10)
attachment 11 -13
From 2:00 target density falls below 2E10 and started decreasing to the E9 range. At 2:40 we started playing with x-y to get to higher density. At 3:30 we are at 5/6E10 and waiting to see if it stabilizesto that or if it needs more playing.
04:06
Target density being 1-2E11 since 5 minutes
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachment 14 statistics, attachment 15 merger
05;53
Target density 1E10, sometimes e11, before going down to low E10 and we need to play a bit with x-y to recover it
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Attachment 16-18
07:35
Target density 2.5E11, after changiny y position to 1000
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
09:00
Target density has not improved despite efforts last night. Mid 10 - low 11 density range. We have asked HKR to remove beam from the ring and allow access so Nikos can change the nozzle.
Beam off now, access granted. Will begin warming nozzle. Internal cave work on changing nozzle expected to start at 11
Run stopped.
Final monitoring code histogram attached
|
Attachment 1: Screenshot_from_2024-06-04_19-07-40.png
|
|
Attachment 2: Screenshot_from_2024-06-04_19-11-14.png
|
|
Attachment 3: Screenshot_from_2024-06-04_21-26-45.png
|
|
Attachment 4: Screenshot_from_2024-06-04_21-26-50.png
|
|
Attachment 5: Screenshot_from_2024-06-04_22-40-39.png
|
|
Attachment 6: Screenshot_from_2024-06-04_22-43-21.png
|
|
Attachment 7: Screenshot_from_2024-06-05_00-44-49.png
|
|
Attachment 8: Screenshot_from_2024-06-05_00-45-21.png
|
|
Attachment 9: Screenshot_from_2024-06-05_00-45-41.png
|
|
Attachment 10: Screenshot_from_2024-06-05_00-47-33.png
|
|
Attachment 11: Screenshot_from_2024-06-05_02-21-37.png
|
|
Attachment 12: Screenshot_from_2024-06-05_02-22-15.png
|
|
Attachment 13: Screenshot_from_2024-06-05_02-22-44.png
|
|
Attachment 14: Screenshot_from_2024-06-05_04-06-59.png
|
|
Attachment 15: Screenshot_from_2024-06-05_04-07-43.png
|
|
Attachment 16: Screenshot_from_2024-06-05_05-55-15.png
|
|
Attachment 17: Screenshot_from_2024-06-05_05-55-49.png
|
|
Attachment 18: Screenshot_from_2024-06-05_05-56-34.png
|
|
Attachment 19: Screenshot_from_2024-06-05_09-04-45.png
|
|
587
|
Tue Jun 4 15:25:36 2024 |
BD US CB | Run 33 |
16:26
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Nicos came in to optimize target density.
18:39
aida02 in the MERGER aka aida03 elsewhere has a red background, but still appears to be transferring data. Rates are fine. Temps are fine. System wide checks unchanged.
See attachments. Checkloaded x2 - no difference. Unclear what the issue is. |
Attachment 1: Screenshot_from_2024-06-04_18-33-23.png
|
|
Attachment 2: Screenshot_from_2024-06-04_18-33-38.png
|
|
Attachment 3: Screenshot_from_2024-06-04_18-35-26.png
|
|
Attachment 4: Screenshot_from_2024-06-04_18-36-55.png
|
|
586
|
Tue Jun 4 14:13:17 2024 |
JM, CB, DB, US | Run 32- beam only background |
15:15
Run 32 started - Beam, no target
Beam energy = 3 MeV.
Horizontal beam position = 4.0 mm, vertical beam position = 0.0 mm.
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN. Left arm = 36.54, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 5 minutes.
Pressure in YR09 E target ~ 4.5e-11 mbar.
Motors code crashed. Beam removed from ring to re-home detectors.
15:20
Beam in the ring again, detectors homed, no target
Detectors have been set up to send signals on injection / dump
15:35
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
|
585
|
Tue Jun 4 06:56:02 2024 |
DFF, PC, LB, JM,NP,AB | Run 31 contd |
8:13
Since 6:40 the target density dramatically dropped to 10^9 since then it has been unstable and did not recover. Currently it is around 8e8.
09:00
Target density has been increased to the high -10, very low -11 region. We will keep this run going unless the density is increased further.
10:21
Beam energy = 3 MeV.
Horizontal beam position = 4.0 mm, vertical beam position = 0.0 mm.
Target density ~ 1e9 at/cm2 (no target, get lost quite quckly)
Beam intensity at inj ~ 1.7e7
Detectors are positioned IN. Left arm = 36.54, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 6 minutes.
Pressure in YR09 E target ~ 4.36e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
target density has been fluctuating between E9 to E12. We can very clearly see the increase in elastic counts on our detector during high density periods.
Attachment 1 - rate plots at 1E12 at/cm2
Attachment 2 - rate plots at 1E9 at/cm2
12:26
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
After reaching a density of > 10^12 a bit before 11:00, the valve got closed. When a valve is closed, can be observed a dramatic change in the plot and one of the three green ligths of atps7vaccrz1 (0,2,4) is turned off. Nikos opened it, and now it seems stable again around 1e11.
14:07
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
15:05
Run stopped as target density is rubbish. Might as well get beam background |
Attachment 1: Screenshot_from_2024-06-04_10-53-58.png
|
|
Attachment 2: Screenshot_from_2024-06-04_10-58-02.png
|
|
584
|
Tue Jun 4 00:04:39 2024 |
DR, EH | Run 31 |
Beam energy = 3 MeV
Horizontal beam position = 4.0 mm, vertical beam position = 0.0 mm.
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN. Left arm = 36.54, right arm = 64.60 mm
Cycle time ~ 6 minutes
01:05
Target density still very unstable
02:45
Target density has dropped to ~1e9 and has been at that level for 30 minutes. We will try to move the target a bit and see if we can get an increase. Target position is currently x=-12400, y=2900
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
04:15
We were able to recover some target, but it is still very unstable. The new position is x=-12800, y=2000
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
06:15
Target still unstable, fluctuating around the 5e10 region
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK |
583
|
Mon Jun 3 21:37:20 2024 |
CN, EM, CB | Run30 |
Beam energy = 3 MeV
Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN. Left arm = 36.54, right arm = 64.60 mm
22:52 (Attachments 1 -3)
Beam is very unstable
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
00:47
Beam is still very unstable.
We checked for beam-target overlap position and found a clear increase in counts at beam position 4.0 mm. We have left the beam at horizontal = 4.0 mm, vertical = 0.0
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK |
Attachment 1: Screenshot_from_2024-06-03_23-15-37.png
|
|
Attachment 2: Screenshot_from_2024-06-03_23-16-18.png
|
|
Attachment 3: Screenshot_from_2024-06-03_23-17-16.png
|
|
582
|
Mon Jun 3 15:17:41 2024 |
CN, EM, CB | Run29 |
16:15
In the previous run while working on the target it was discovered that the valve was closed! Probaly this happened around 10 am, we are not sure.
The gas target inlet valve is open again, working on the target.
Beam energy = 3 MeV
Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN pneumatic only. Left arm = 62.71, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 7 minutes.
Pressure in YR09 E target ~ 4.5e-11 mbar.
18:03 ( Attchments 1 -4)
Still no target!
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
20:23
We have the target back. S1( grafana) 4.8e-8. The target thickness read by the system 5E11 atoms/cm2 but apparently the formula is wrong and the value should be almost e12 atoms/cm2.
. We have now proper beam and target and we
|
Attachment 1: Screenshot_from_2024-06-03_18-04-47.png
|
|
Attachment 2: Screenshot_from_2024-06-03_18-05-11.png
|
|
Attachment 3: Screenshot_from_2024-06-03_18-06-09.png
|
|
Attachment 4: Screenshot_from_2024-06-03_18-06-40.png
|
|
Attachment 5: Screenshot_from_2024-06-03_20-35-00.png
|
|
581
|
Mon Jun 3 15:10:12 2024 |
CN, EM, CB | Run28 |
16:10
We start a new run after doing some test with the motor. Injection time is now a 6s, moving of the motors is working fine.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
No target
|
Attachment 1: Screenshot_from_2024-06-03_16-12-44.png
|
|
Attachment 2: Screenshot_from_2024-06-03_16-13-11.png
|
|
Attachment 3: Screenshot_from_2024-06-03_16-14-11.png
|
|
Attachment 4: Screenshot_from_2024-06-03_16-16-37.png
|
|
580
|
Mon Jun 3 11:46:07 2024 |
SD, SHB, JM, CN, EM | Run 27 |
12:46 (attachments 1-4)
Run 27 started - Beam no target
Beam energy = 3 MeV
Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN pneumatic only. Left arm = 62.71, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 7 minutes.
Beam on BPM looks to be better than at previous energy.
Pressure in YR09 E target ~ 4.5e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
13:45 (attachments 5-8)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
15:15(attachments 9-12)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Target density 1e10 atoms/cm2. Still working on the target.
|
Attachment 1: Screenshot_from_2024-06-03_12-48-03.png
|
|
Attachment 2: Screenshot_from_2024-06-03_12-48-37.png
|
|
Attachment 3: Screenshot_from_2024-06-03_12-49-13.png
|
|
Attachment 4: Screenshot_from_2024-06-03_12-49-47.png
|
|
Attachment 5: Screenshot_from_2024-06-03_13-45-42.png
|
|
Attachment 6: Screenshot_from_2024-06-03_13-46-49.png
|
|
Attachment 7: Screenshot_from_2024-06-03_13-47-32.png
|
|
Attachment 8: Screenshot_from_2024-06-03_13-47-51.png
|
|
Attachment 9: Screenshot_from_2024-06-03_15-16-51.png
|
|
Attachment 10: Screenshot_from_2024-06-03_15-17-49.png
|
|
Attachment 11: Screenshot_from_2024-06-03_15-18-19.png
|
|
Attachment 12: Screenshot_from_2024-06-03_15-22-14.png
|
|
579
|
Mon Jun 3 08:43:22 2024 |
SD, SHB | Run 26 |
09:44
Run 26 started - Beam no target
Beam energy is being increased from 1.5 to 3 MeV
Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Detectors are positioned fully OUT
Histograms and stats zero'd, monitoring code restarted.
Pressure in YR09 E target ~ 4.5e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
10:48 (Attachements 1-4)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The detectors currently fully out for tuning.
11:57 (attachments 5-8)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The detectors currently fully out for tuning.
|
Attachment 1: Screenshot_from_2024-06-03_10-49-19.png
|
|
Attachment 2: Screenshot_from_2024-06-03_10-50-04.png
|
|
Attachment 3: Screenshot_from_2024-06-03_10-53-01.png
|
|
Attachment 4: Screenshot_from_2024-06-03_10-53-44.png
|
|
Attachment 5: Screenshot_from_2024-06-03_11-55-41.png
|
|
Attachment 6: Screenshot_from_2024-06-03_11-56-17.png
|
|
Attachment 7: Screenshot_from_2024-06-03_11-56-36.png
|
|
Attachment 8: Screenshot_from_2024-06-03_11-57-31.png
|
|
578
|
Sun Jun 2 23:38:17 2024 |
JM, EM | Run 25 |
00:38
Run 25 started - Beam on target
Beam energy = 1.5 MeV.
Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Target density ~ 5e11 at/cm2 (Still Fluctuating, after temperature increase)
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN. Left arm = 62.71, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 5 minutes.
Pressure in YR09 E target ~ 5.2e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
01:30 (Attachments 1 - 4)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density seems very unstable: fluctuations from 1e11 to 1e12 atoms/cm2 within 5min
02:37 (Attachments 5 - 8)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is around 5e11 atoms/cm2 with small adjustments
03:32 (Attachments 9 - 12)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is in average 5e11 atoms/cm2 with some small adjustments. Max values up to 3e12 atoms/cm2
One of the injections at 03:43 was not ok and we lost beam for some min. Target density 1e12 atoms/cm2. On 04:00 the beam was back again without any operator intervention.
04:35 (Attachments 13 - 16)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is in average 1e12 atoms/cm2.
05:43 (Attachments 17 - 20)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density decreased to 2e11 atoms/cm2 in the last 40 min
06:43 (Attachments 21 - 24)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is fluctuating from 1e11 to 1e12 atoms/cm without any change....
07:53 (Attachments 25 - 28)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is fluctuating from 1e11 to 1e12 atoms/cm without any change....
08:48 (Attachments 29 - 32)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
The target density is fluctuating from 1e11 to 1e12 atoms/cm without any change....
09:40 --> Run 25 stopped.
|
Attachment 1: Screenshot_from_2024-06-03_01-34-08.png
|
|
Attachment 2: Screenshot_from_2024-06-03_01-34-32.png
|
|
Attachment 3: Screenshot_from_2024-06-03_01-34-54.png
|
|
Attachment 4: Screenshot_from_2024-06-03_01-35-46.png
|
|
Attachment 5: Screenshot_from_2024-06-03_02-38-30.png
|
|
Attachment 6: Screenshot_from_2024-06-03_02-38-55.png
|
|
Attachment 7: Screenshot_from_2024-06-03_02-39-26.png
|
|
Attachment 8: Screenshot_from_2024-06-03_02-40-07.png
|
|
Attachment 9: Screenshot_from_2024-06-03_03-33-32.png
|
|
Attachment 10: Screenshot_from_2024-06-03_03-33-56.png
|
|
Attachment 11: Screenshot_from_2024-06-03_03-34-20.png
|
|
Attachment 12: Screenshot_from_2024-06-03_03-35-16.png
|
|
Attachment 13: Screenshot_from_2024-06-03_04-35-47.png
|
|
Attachment 14: Screenshot_from_2024-06-03_04-36-07.png
|
|
Attachment 15: Screenshot_from_2024-06-03_04-36-25.png
|
|
Attachment 16: Screenshot_from_2024-06-03_04-39-59.png
|
|
Attachment 17: Screenshot_from_2024-06-03_05-44-44.png
|
|
Attachment 18: Screenshot_from_2024-06-03_05-45-07.png
|
|
Attachment 19: Screenshot_from_2024-06-03_05-45-24.png
|
|
Attachment 20: Screenshot_from_2024-06-03_05-46-22.png
|
|
Attachment 21: Screenshot_from_2024-06-03_06-42-21.png
|
|
Attachment 22: Screenshot_from_2024-06-03_06-42-49.png
|
|
Attachment 23: Screenshot_from_2024-06-03_06-43-48.png
|
|
Attachment 24: Screenshot_from_2024-06-03_06-44-02.png
|
|
Attachment 25: Screenshot_from_2024-06-03_07-48-07.png
|
|
Attachment 26: Screenshot_from_2024-06-03_07-49-47.png
|
|
Attachment 27: Screenshot_from_2024-06-03_07-50-51.png
|
|
Attachment 28: Screenshot_from_2024-06-03_07-53-01.png
|
|
Attachment 29: Screenshot_from_2024-06-03_08-46-03.png
|
|
Attachment 30: Screenshot_from_2024-06-03_08-46-41.png
|
|
Attachment 31: Screenshot_from_2024-06-03_08-47-09.png
|
|
Attachment 32: Screenshot_from_2024-06-03_08-48-17.png
|
|
577
|
Sun Jun 2 22:46:47 2024 |
JM, EM, NP | Run24 |
23:47
Run 24 started - Beam on target
Beam energy is 1.5 MeV.
Horizontal beam position = 7.0 mm, vertical beam position = -1.0 mm.
Target density ~ 1e11 at/cm2 (Still Fluctuating, after temperature increase)
Beam intensity at inj ~ 2.5e7
Detectors are positioned IN. Left arm = 62.71, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 10 minutes.
Pressure in YR09 E target ~ 5.2e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
00:23
Target density ~4.65e11 atoms/cm2
Beam intensity at inj ~ 2.7e7
Beam intensity dropped to 2.1e7 in 10 minutes. Proportionall this is much greater than before.
Run 24 stopped.
|
Attachment 1: Screenshot_from_2024-06-02_23-53-00.png
|
|
Attachment 2: Screenshot_from_2024-06-02_23-53-47.png
|
|
Attachment 3: Screenshot_from_2024-06-02_23-56-22.png
|
|
576
|
Sun Jun 2 14:30:16 2024 |
TD | Offline analysis G22-201/R22_0 - R22_11 |
Offline analysis data file G22-201/R22_0 to R22_11
Sort program variables https://elog.ph.ed.ac.uk/CARME/240530_180659/variables.dat
ADC data corrected for ADC offset but not gain
per DSSSD m_p verus m_n - attachment 1
For spectra below events must satisfy condition 0 < m_p < 8 *and* 0 < m_n < 8
per DSSSD p+n junction strip versus n+n Ohmic strip - attachment 2
note - x/y channel ordering to be checked
per DSSSD E_p versus E_n - attachment 3
20keV/channel nominal
per DSSSD E_p versus E_n - attachment 4
gated w(1) & w(2)
20keV/channel nominal
per DSSSD projection of gated E_p versus E_n spectra - attachments 5-8
20keV/channel nominal |
Attachment 1: Screenshot_from_2024-06-01_23-04-28.png
|
|
Attachment 2: Screenshot_from_2024-06-01_23-05-20.png
|
|
Attachment 3: Screenshot_from_2024-06-01_23-05-58.png
|
|
Attachment 4: Screenshot_from_2024-06-01_22-57-42.png
|
|
Attachment 5: Screenshot_from_2024-06-01_22-59-30.png
|
|
Attachment 6: Screenshot_from_2024-06-01_23-00-45.png
|
|
Attachment 7: Screenshot_from_2024-06-01_23-01-56.png
|
|
Attachment 8: Screenshot_from_2024-06-01_23-03-22.png
|
|
575
|
Sun Jun 2 13:04:41 2024 |
JM, AG, CB, DR | Run 23 - vertical beam position and beam lifetime tests |
14:05
Run 22 stopped. Run 23 started we will now adjust the vertical beam position to see if we observe the beam stripe seen in the last beamtime.
Current vertical beam position 0.0 mm. Horizontal beam position 7.0 mm. Coasting beam.
Beam D+, 1.5 MeV. Storage time ~ 20 minutes. Target ON. Density ~1e11 at/cm2.
XY plot from run 22 with the same settings - attachment 1
Beam stripe looks like it is just on bottom detectors (just )
14:10
New beam settings
Vertical Beam position 5.0mm, Horizontal unchanged. Storage time reduced to ~2 minute
No real change observed. Perhaps some thing more can be seen on top right detector but definitely not like in the Feb experiment.
14:15
New beam settings
Vertical Beam position 9.0mm, Horizontal unchanged.
No real change observed again.
14:20
New beam settings
Vertical Beam position -9.0mm, Horizontal unchanged.
More events observed on bottom left detector but nothing like the Feb measurements. I think we do not have stripe effects like previously.
14:40:50
New Beam settings to check beam lifetime.
Vertical beam position set back to 0.0 mm. Horizontal unchanged
In bunched mode to observed intensity over time.
Injection into ring 2.2e7
15:15
Beam intensity dropped to 1.1e7. Beam lifetime 35 minutes.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
15:24
We removed the target. Beam intensity at 2.2E7
After 35 minutes, the beam intensity is 1.41e7. So target does have effect on beam lifetime! We would like more.
16:05
We will now bring target back in and try to increase density.
16:10
Some sort of GSI-wide failure, we have lost beam entirely. We don't see any effect on our system. Temperatures and leakage currents are stable
Detectors moved fully out for safety
17:15
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
19:15
Still no beam
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
21:15
Still no beam
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
21:40
Beam has returned!
22:00
Target at around 9e11 and appears stable
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
22:33
Beam back in the ring. Beam settings to be updated.
Rates in right hand detectors look slightly different to before - lower in bottom right, slightly higher in top left. Perhaps beam vertical position needs to be lowered slightly.
ASIC check load just to be sure. Rates in aida15 greatly reduced - only temporarily.
|
Attachment 1: Screenshot_from_2024-06-02_14-04-55.png
|
|
574
|
Sun Jun 2 08:47:01 2024 |
CB, AG | Run 22 - longer rung with 20 min storage |
09:47
12 seconds pre acc
200x cycles
Detector pneumatics IN, not moving
Running in this configuration for the rest of the shift
Target is barely 1E11 atoms/cm2 and keeps decreasing
Temps OK, checks OK, Stats OK
Writing to disk rate OK
11:22
Targets gets thinner and thinner <1E11 atoms/cm2
Temps OK System wide checks OK Merger OK
Rates OK
13:02
Temps OK. Target around 1E11 atoms/cm2
Merger OK
Rates OK |
Attachment 1: Screenshot_from_2024-06-02_09-47-53.png
|
|
Attachment 2: Screenshot_from_2024-06-02_09-48-06.png
|
|
Attachment 3: Screenshot_from_2024-06-02_11-21-59.png
|
|
Attachment 4: Screenshot_from_2024-06-02_11-22-27.png
|
|
Attachment 5: Screenshot_from_2024-06-02_13-02-31.png
|
|
573
|
Sun Jun 2 06:41:00 2024 |
CB, AG | Run 21 - tests |
07:41
Switching to bunched beam to check D vs H content.
8:24
Attach 1&2
ONE spill
Detectors fully out
Switched to bunched beam
12 seconds pre-acceleration
100x cycles (600 seconds) -
Loss over 600 seconds 3.97E7 ions to 3.40E7 ions, i.e. negligible.
Attach 3&4
Coasting beam
12 seconds pre-acc
100x cycles
Attch 5&6
Coasting beam
12 seconds pre-acc
100x cycles
Detectors pneumatics IN
Attach 7& 8
Coasting beam
6 seconds pre-acc
100x cycles
Detector pneumatics IN
Attach 8&9
Coasting beam
12 seconds pre acc
200x cycles
Detector pneumatics IN
Running in this configuration for the rest of the shift
Target is barely 1E11 atoms/cm2 and keeps decreasing |
Attachment 1: Screenshot_from_2024-06-02_08-23-21.png
|
|
Attachment 2: Screenshot_from_2024-06-02_08-23-36.png
|
|
Attachment 3: Screenshot_from_2024-06-02_08-44-38.png
|
|
Attachment 4: Screenshot_from_2024-06-02_08-44-54.png
|
|
Attachment 5: Screenshot_from_2024-06-02_08-58-17.png
|
|
Attachment 6: Screenshot_from_2024-06-02_08-58-25.png
|
|
Attachment 7: Screenshot_from_2024-06-02_09-18-02.png
|
|
Attachment 8: Screenshot_from_2024-06-02_09-18-10.png
|
|
Attachment 9: Screenshot_from_2024-06-02_09-44-16.png
|
|
Attachment 10: Screenshot_from_2024-06-02_09-44-24.png
|
|
572
|
Sat Jun 1 21:56:17 2024 |
JM, EM, EM, TY, CB, AG | Run 20 |
22:56
Run 20 started - beam on target
Beam energy is 1.5 MeV. Horizontal beam position = 7.0 mm, vertical beam position = 0.0 mm.
Target density ~ 1e11 at/cm2
Beam intensity at inj ~ 4e7
Detectors are positioned IN. Left arm = 62.71, right arm = 64.60 mm
Histograms and stats zero'd, monitoring code restarted.
Beam in the ring ~ 3minutes.
Pressure in YR09 E target ~ 4.5e-11 mbar.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
01:15
Target density has increased slighty: ~ 4e11 at/cm2 (update: this only lasted about 5 min)
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
3:10
Target density has decresed slightly but will come back (hopefully) ~7e10 at/cm2.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
05:15
Target density has been somewhat unstable, between ~7E10 and ~3E12 at/cm2.
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
07:37
Run continues. Target density still fluctuating around 1E11 atoms/cm2.
Temperatures OK. Merger OK. Rates OK.
System wide checks as before.
Waveforms OK. See attachments.
Some screenshots from the online analysis. We do not see a peak at 1 MeV. We do however clearly see more D than H2+.
Stopping run to make some tests.
|
Attachment 1: Screenshot_from_2024-06-01_23-02-05.png
|
|
Attachment 2: Screenshot_from_2024-06-01_23-02-46.png
|
|
Attachment 3: Screenshot_from_2024-06-02_01-16-01.png
|
|
Attachment 4: Screenshot_from_2024-06-02_01-16-22.png
|
|
Attachment 5: Screenshot_from_2024-06-02_03-11-55.png
|
|
Attachment 6: Screenshot_from_2024-06-02_03-12-00.png
|
|
Attachment 7: Screenshot_from_2024-06-02_05-14-40.png
|
|
Attachment 8: Screenshot_from_2024-06-02_05-15-00.png
|
|
Attachment 9: Screenshot_from_2024-06-02_07-28-30.png
|
|
Attachment 10: Screenshot_from_2024-06-02_07-30-22.png
|
|
Attachment 11: Screenshot_from_2024-06-02_07-31-21.png
|
|
Attachment 12: Screenshot_from_2024-06-02_07-32-03.png
|
|
Attachment 13: Screenshot_from_2024-06-02_07-32-21.png
|
|
Attachment 14: Screenshot_from_2024-06-02_07-32-42.png
|
|
Attachment 15: Screenshot_from_2024-06-02_07-32-49.png
|
|
Attachment 16: Screenshot_from_2024-06-02_07-33-00.png
|
|
Attachment 17: Screenshot_from_2024-06-02_07-33-45.png
|
|
Attachment 18: Screenshot_from_2024-06-02_07-36-41.png
|
|
571
|
Sat Jun 1 16:38:13 2024 |
TD | Offline analysis G22-201/R17 |
Offline analysis data file G22-201/R17
Sort program variables https://elog.ph.ed.ac.uk/CARME/240530_180659/variables.dat
ADC data corrected for ADC offset but not gain
per DSSSD m_p verus m_n - attachment 1
DSSSD #2 spectrum is normal, DSSSD #1 and #3-4 display large multiplicities in p and/or n strips which are, presumably, non-physical events at DSSSD edge
For spectra below events must satisfy condition 0 < m_p < 8 *and* 0 < m_n < 8
per DSSSD p+n junction strip versus n+n Ohmic strip - attachment 2
note - x/y channel ordering to be checked
per DSSSD E_p versus E_n - attachment 3
20keV/channel nominal
per DSSSD E_p versus E_n - attachment 4
gated w(1) & w(2)
20keV/channel nominal
per DSSSD projection of gated E_p versus E_n spectra - attachments 5-8
20keV/channel nominal
log scale |
Attachment 1: Screenshot_from_2024-06-01_08-31-53.png
|
|
Attachment 2: Screenshot_from_2024-06-01_08-33-16.png
|
|
Attachment 3: Screenshot_from_2024-06-01_08-33-58.png
|
|
Attachment 4: Screenshot_from_2024-06-01_08-34-44.png
|
|
Attachment 5: Screenshot_from_2024-06-01_08-36-06.png
|
|
Attachment 6: Screenshot_from_2024-06-01_08-37-22.png
|
|
Attachment 7: Screenshot_from_2024-06-01_08-39-06.png
|
|
Attachment 8: Screenshot_from_2024-06-01_08-40-22.png
|
|
570
|
Sat Jun 1 13:33:50 2024 |
TD | Offline analysis APR24/R3 (alpha background) |
Offline analysis data file APR24/R3 (alpha background)
Run started 11:04 26.4.24
Run ended 09:44 29.4.24
Sort program variables https://elog.ph.ed.ac.uk/CARME/240530_180659/variables.dat
ADC data corrected for ADC offset but not gain
per DSSSD m_p verus m_n - attachment 1
For spectra below events must satisfy condition 0 < m_p < 8 *and* 0 < m_n < 8
per DSSSD p+n junction strip versus n+n Ohmic strip - attachment 2
note - x/y channel ordering to be checked
per DSSSD E_p versus E_n - attachment 3
20keV/channel nominal
per DSSSD E_p versus E_n - attachment 4
gated w(1) & w(2)
20keV/channel nominal
per DSSSD projection of gated E_p versus E_n spectra - attachments 5-8
20keV/channel nominal
2D spectra z contours/colour map - attachment 9 |
Attachment 1: Screenshot_from_2024-06-01_05-24-17.png
|
|
Attachment 2: Screenshot_from_2024-06-01_05-25-05.png
|
|
Attachment 3: Screenshot_from_2024-06-01_05-26-10.png
|
|
Attachment 4: Screenshot_from_2024-06-01_05-26-51.png
|
|
Attachment 5: Screenshot_from_2024-06-01_05-29-43.png
|
|
Attachment 6: Screenshot_from_2024-06-01_05-32-16.png
|
|
Attachment 7: Screenshot_from_2024-06-01_05-33-31.png
|
|
Attachment 8: Screenshot_from_2024-06-01_05-34-39.png
|
|
Attachment 9: Screenshot_from_2024-06-01_05-34-57.png
|
|
569
|
Sat Jun 1 07:16:15 2024 |
CB, AB, EM, JM | Run 19 - beam target overlap |
Morning shift
08:16 - as run 18, target off
9:00 Increased storage time to 5 minutes. Aquired data after initial beam loss. Attach 1 &2. Far less H2+ vs D.
Temps OK
Rates as before
10:00 beam being optimised to reduce 2H+ content
Rates in aida 13, 14 suddenly spike as during overnight. Checkloaded repeatedly. No effect. Increased thresholds in 13, 14 to 0x32 bringing them in line with 15,16.
12:50
We started test with beam
Test1 ( Attached 12-52-55)
Horiz. offset: 8mm
Max vuel on 1.5MeV =1480 counst
Test2
Horiz. offset: 7mm
Test3
Horiz. offset: 6mm
target density=2.4E11
Test4
Horiz. offset: 5mm
target density=from 1.7E11 to 2.5E11
Test5
Horiz. offset: 4mm
target density=from 5.6E11 to 1.1E11 several fluctuations
Test6
Horiz. offset: 3mm
target density=1.1E11 several fluctuations. Until now we do not see a clear signel from the reaction!
Test7
Horiz. offset: 2mm
target density=1.1E11 several fluctuations.
Test8
Beam back again. Coasting beam. Detectors IN
Horiz. offset: 8mm
xy and energy histograms - attachment 12 and 13.
Test9
Bunched beam Detectors IN
Horiz. offset: 8mm
xy and energy histograms - attachment 14 and 15.
Test10
Horiz. offset: 6mm
xy and energy histograms - attachment
target:1.6E11
xy and energy histograms - attachment 16 and 17.
Afternoon shift
From previous overlap tests we can see that moving the beam position does cause a change in the number of events observed in the detectors.
But the change in events appears to be due to beam halo hitting the detectors rather than elastic scattering. New approach required.
We have varied the beam position (horizontal) in 1mm steps from 0mm to 9mm (the maximum allowed). We do this with the target ON and then with the target OFF.
Integral of events ~1.5 MeV taken . Difference between the target and no target plotted to gauge overlap position.
Note we have moved left arm fully out as we were worried about beam hitting dssds on injection. Will check overlap with right dssds only as left dssds have very low rate at this position.
We have determined the overlap position is about 7.0mm horizontal
Attachment 18 shows initial scan.
Attachment 19 shows a second scan in the region we highlighted as possible overlap. |
Attachment 1: Screenshot_from_2024-06-01_09-15-54.png
|
|
Attachment 2: Screenshot_from_2024-06-01_09-16-11.png
|
|
Attachment 3: Screenshot_from_2024-06-01_10-23-17.png
|
|
Attachment 4: Screenshot_from_2024-06-01_10-29-48.png
|
|
Attachment 5: Screenshot_from_2024-06-01_12-52-55.png
|
|
Attachment 6: Screenshot_from_2024-06-01_12-58-44.png
|
|
Attachment 7: Screenshot_from_2024-06-01_13-06-01.png
|
|
Attachment 8: Screenshot_from_2024-06-01_13-12-10.png
|
|
Attachment 9: Screenshot_from_2024-06-01_13-18-32.png
|
|
Attachment 10: Screenshot_from_2024-06-01_13-27-12.png
|
|
Attachment 11: Screenshot_from_2024-06-01_13-34-07.png
|
|
Attachment 12: Screenshot_from_2024-06-01_14-18-55.png
|
|
Attachment 13: Screenshot_from_2024-06-01_14-19-10.png
|
|
Attachment 14: Screenshot_from_2024-06-01_14-28-08.png
|
|
Attachment 15: Screenshot_from_2024-06-01_14-29-26.png
|
|
Attachment 16: Screenshot_from_2024-06-01_14-39-31.png
|
|
Attachment 17: Screenshot_from_2024-06-01_14-39-43.png
|
|
Attachment 18: Overlap1.png
|
|
Attachment 19: Overlap2.png
|
|
568
|
Fri May 31 21:53:20 2024 |
CB EM, JM,EM AB | Overnight beam tests |
22:53
Run 15 started
Beam tests. We increased the storage time to 2min and switched to bunched beam so that we can see the beam lifetime over the cycle.
D+ Beam energy is set to 1.5 MeV.
Detectors are positioned in with servos only (left arm = 28.06mm, right arm = 29.23 mm)
No target
We observe a large peak at the start of the cycle at 750 keV. This seems to be molecular hydrogen circulating in the ring. We also see a much smaller peak at 1.5 MeV (D+)
After a period of time the 750 keV peak decreases significantly and we are left with the 1,5 MeV peak only.
Total energy histogram after running for ~ 1 hour - attachment 1
Total xy plot after ~ 1 hour - attachment 2
We observe the large circular structure on the left hand detectors. Is this related to hydrogen or is it the beam type (bunched instead of coasting)?
In the GSI control plots for the number of ions in the ring we observe a large drop in ions circulating at the start of the cycle, and then remains fairly constant throughout the rest of the cycle. - attachment 3
This seems to confirm our hydrogen hypothesis.
23:54
Run 15 stopped, Run 16 started.
All setting the same and still no target, except beam is now coasting. We will compare to Run 15 after one hour of running.
We do not observe the circular distribution on the detector seen in the previous run. It seems this effect comes from the bunched beam. Reason?
We still observe the 750 keV peak at the start of the cycle.
Total energy histogram after running for ~ 1 hour - attachment 4
Total xy plot after ~ 1 hour - attachment 5
00:59
Run16 stopped. Run 17 started.
All settings the same except we have switched back to bunched beam.
Target now on. (X=-13700, Y=0). Target density ~ 1E11 at/cm2.
02:03
Run 17 continues
Target has increased in the average density to ~4e11 at/cm2, no changes made to nozzle position and fluctuates to 1e11 or 1e12 at/cm2.
Energy histograms and the xy plots appear similar to bunched beam with no target. The rates are slightly lower with the target for the left hand dssds, maybe target interactions destroy molecular hydrogen quicker?
Total energy histogram after running for ~ 1 hour - attachment 6
Total xy plot after ~ 1 hour - attachment 7
We will continue to run for another hour or so in this condition
Target density has decreased over the last minutes. We have tried to move the nozzle to maximise density but fluctuations make this difficult.
03:55
Increase in ADC data items statistics for aida 13 and 14 from ~20 kHz to 90 kHz
ASIC checkload has reduced the rates back to ~ 20 kHz
Total energy histogram after running for ~ 3 hour - attachment 8
Total xy plot after ~ 3 hour - attachment 9
Run 17 stopped. Run 18 started
Beam changed back to coasting. All other settings unchanged. Target on.
04:55
Run 18 continues.
Energy histograms and the xy plots appear similar to bunched beam with no target. The rates are lower with the target than without.
Total energy histogram after running for ~ 1 hour - attachment 10
Total xy plot after ~ 1 hour - attachment 11
Note we observed fluctuations in the T2 temperature for the target. T2 is set to 80 K but rapid fluctuations were observed up to 100K. This occurred for only 5 minutes and I am not sure if it is a real effect.
07:20
Temperatures OK
System wide checks OK
Rates OK
Stats OK
Waveforms attached
Leakage current decreasing slightly.
No changes to beam or target. |
Attachment 1: Screenshot_from_2024-05-31_23-52-25.png
|
|
Attachment 2: Screenshot_from_2024-05-31_23-51-56.png
|
|
Attachment 3: screenshot-2024-05-31_23-53-125456534733007473468.png
|
|
Attachment 4: Screenshot_from_2024-06-01_00-59-45.png
|
|
Attachment 5: Screenshot_from_2024-06-01_00-59-55.png
|
|
Attachment 6: Screenshot_from_2024-06-01_02-01-33.png
|
|
Attachment 7: Screenshot_from_2024-06-01_02-02-05.png
|
|
Attachment 8: Screenshot_from_2024-06-01_03-54-39.png
|
|
Attachment 9: Screenshot_from_2024-06-01_03-54-52.png
|
|
Attachment 10: Screenshot_from_2024-06-01_04-54-25.png
|
|
Attachment 11: Screenshot_from_2024-06-01_04-54-43.png
|
|
Attachment 12: Screenshot_from_2024-06-01_07-17-28.png
|
|
Attachment 13: Screenshot_from_2024-06-01_07-17-38.png
|
|
Attachment 14: Screenshot_from_2024-06-01_07-18-00.png
|
|
Attachment 15: Screenshot_from_2024-06-01_07-18-12.png
|
|
Attachment 16: Screenshot_from_2024-06-01_07-19-44.png
|
|
Attachment 17: Screenshot_from_2024-06-01_07-20-07.png
|
|
567
|
Fri May 31 21:03:21 2024 |
CB, EM | Run14 |
22:03
Before this run we checked quickly beam with the target on but it does not make sense with the current status of the beam.
We removed target and starte Run14
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable |
Attachment 1: Screenshot_from_2024-05-31_22-05-57.png
|
|
Attachment 2: Screenshot_from_2024-05-31_22-07-01.png
|
|
Attachment 3: Screenshot_from_2024-05-31_22-07-49.png
|
|
566
|
Fri May 31 19:12:01 2024 |
CB, EM | Run 13 - test with beam |
20:00
The beam is set at the correct energy, operators cross-checked it again. What we see at 750 is due to the molecular beam. The operotors are trying to optimize and get rid of this in the next 30min.
Temps and system checks OK
Leakage current OK
Merger and tape server OK
Potentiometer at 28.06 mm and 29.23 mm
20:30
Keep going with the beam tests. A new peak appears around 950 keV( See attached). Still try to understand what it is. The operators keep going |
Attachment 1: Screenshot_from_2024-05-31_20-13-46.png
|
|
Attachment 2: Screenshot_from_2024-05-31_20-27-58.png
|
|
Attachment 3: Screenshot_from_2024-05-31_20-32-59.png
|
|
565
|
Fri May 31 06:01:55 2024 |
JM, TY, PW, EM, CB | Run 12 - beam on target |
07:00
Run 12 started. D+ beam is incident on the target at 1.5 MeV
Beam intensity in the ring is ~4e7 particles
Target density shows some fluctuations but is ~1e11 atoms/cm2. Target temp 35K
Detectors are positioned fully out (Left arm 18.86mm and right arm 19.8 mm ) and are not moving
Ring pressure looks OK, YR09 E target sensor seems unaffected by the target currently reads ~5e-11 mbar.
Beam is in the ring for ~15s.
Temps and system checks OK
Leakage current OK
Merger and tape server OK
Horizontal beam position 8 mm and vertical beam position 0mm for overlap with target.
Statistics - attachment 1
Energy histogram - attachment 2
XY plot - attachment 3
We see high rate at 1.5 MeV in left dssds but very little in right dssds. XY plots also show counts are concerntrated in a very circular distribution.
07:15
Gleb has just called and requires access to the cave to check on a turbo pump in the source.
Ring vacuum looks good
Beam will be down for 10-15 minutes only hopefully.
07:45
Beam is back in the ring but injection requires optimisation.
09:00
There are some issues with the source that require a few hours to resolve. Potentially until 7 or 8 pm tonight. We have about an hour before work starts.
We have done a quick test of the motors and moved the left and right arms in using the pnuematic only.
New position left arm = 62.71 mm , right arm = 64.6 mm.
We observed the rates in left detectors went up significantly. In the right detectors we observed little increase.
See attached xy plot - attachment 4
See attached energy histogram - attachment 5
Beam intensity has dropped significantly - below 1e6 particles so even at in positons we see few counts at the moment.
09:25
Source has degraded pretty rapidly so beam will be stopped for now.
Detectors moved back out.
Target nozzle moved from X= -13000, Y=2500 to X= -11000, Y=2500. S1 has dropped to 5e-10 mbar.
Note Gleb mentioned that last night it was likely a large proportion of the ions stored were molecular hydrogen not deuterium.
16:18
Temps and system checks OK
Leakage current OK
Merger and tape server OK
18:10
Temps and system checks OK
Leakage current OK
Merger and tape server OK
19:38
Operators are trying to optimize some beam. For now the beam is lower than it should be probably because is easy to work with the source like that.
Temps and system checks OK
Leakage current OK
Merger and tape server OK
|
Attachment 1: Screenshot_from_2024-05-31_07-32-33.png
|
|
Attachment 2: Screenshot_from_2024-05-31_07-33-19.png
|
|
Attachment 3: Screenshot_from_2024-05-31_07-33-57.png
|
|
Attachment 4: Screenshot_from_2024-05-31_09-17-08.png
|
|
Attachment 5: Screenshot_from_2024-05-31_09-21-40.png
|
|
Attachment 6: Screenshot_from_2024-05-31_16-19-29.png
|
|
Attachment 7: Screenshot_from_2024-05-31_16-29-22.png
|
|
Attachment 8: Screenshot_from_2024-05-31_16-31-36.png
|
|
Attachment 9: Screenshot_from_2024-05-31_18-12-36.png
|
|
Attachment 10: Screenshot_from_2024-05-31_19-42-42.png
|
|
Attachment 11: Screenshot_from_2024-05-31_19-45-55.png
|
|
Attachment 12: Screenshot_from_2024-05-31_20-04-54.png
|
|
564
|
Thu May 30 13:31:01 2024 |
JM, CB, PW, YL | Run 11 - beam on target overlap |
14:30
Run 11 started. D+ beam in the ring at 1.5 MeV.
No target at the moment. Valves to the interaction chamber will soon be opened.
Stats and histograms zero'd, monitoring code reset.
All checks and temps OK
Leakage currents OK
ADC data items statistics - attachment 1
Beam in ring ~30s and manipulation ~2s
Beam intensity ~ 5.7E7
16:40
System check OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
Beam in the ring
Waiting for target , Hydrogen green
18:50
System OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
Beam ~4x10^7
Target tuning ongoing
22:05
System OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
Currently no beam -> asked operator to check source
Target tuning ongoing
23:22
System OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
Currently no beam -> operators are optimizing the intensity of the beam
Optimizing target density as well
00:55
The overlaping test started by moving the beam only in the y axis.
02:00
Overlap test is over and was found that the optimal position for the horizontal axis is 8mm. Beam on target.
Observation of an increase the 1.5 MeV peak in the CARME monitoring code when overlap with the target is found. Attachment 4.
Drop in the beam lifetime is also observed when overlapped with the target.
System OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
02:48
CRYRING measurement cycle has been made shorter (from 5 to 3 repetitions) to match it with the decreased beam life time due to optimed overlap with target.
04:37
System OK
Temperature OK
Statistics same as before
Merger and server OK
Leakage currents OK
|
Attachment 1: Screenshot_from_2024-05-30_14-33-19.png
|
|
Attachment 2: Screenshot_from_2024-05-31_02-09-35.png
|
|
Attachment 3: Screenshot_from_2024-05-31_06-57-12.png
|
|
Attachment 4: 20240531_073828.jpg
|
|
563
|
Thu May 30 09:30:33 2024 |
CB, PW, YL, TD | Run 10 |
10:30
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
10.48 FEE64 temperatures OK - attachment 1
WR timestamps OK - attachment 2
ADC data item stats - attachment 3
per FEE64 Rate spectra - attachment 4
why do we observe HEC data in aida15 asic #1?
per FEE64 1.8.L spectra - attachments 5-6
ADC to 20MeV FSR - not clear when these spwectra were last zero;d
currently 750keV/u D + no target ?
10.53 All histograms & stats zero'd
Merger, Taper Server etc - attachments 7-8
bind error 98 for NewMerger server - not clear when these messahes were generated - added some returns to monitor whether these, or other, messages are repeated
DSSSD bias & leakage current OK - attachment 9
11:30
We noticed some odd peak structure in the rate energy histograms for the right hand side detectors. We took away the beam but this structure persisted.
This was not observed in the total energy histograms, the reason for this is the applied multiplicity cut to the total histograms. Removing this cut the peaks are clearly visible (attachment 10). Putting the cut back in we see the peaks removed (attachment 11). These events correspond to some noisy strips on the detectors on the right hand side (see attachment 12). Notice the much larger rate on the RHS. Current ADC data items statistics show FEE modules for the RHS also much larger (attachment 13). We did not observe this with no beam (https://elog.ph.ed.ac.uk/CARME/554) but did with beam last night (https://elog.ph.ed.ac.uk/CARME/558) so there nust be some effect of having beam in the ring increasing the number of events on the RHS. Note the detectors are fully out and the events are localised in these strips.
Note we have moved the detectorsand removed beam from the ring in this time period to investigate these peaks.
12:50
Run 10 continues. Temps OK. System checks OK. Merger OK, Tape server OK.
Leakage current OK.
Notice the 'beam peak' at 1.5 MeV is larger in the left hand side (attachment 14)
14:26
We have started the new_optimeren.py on pi@gas-stepper to try and align the gas target. Valves to the interaction chamber are closed.
Setting the nozzle X=-13000, Y=1000 we observe an increase in E4 from 4E-10 mbar to 2E-9 mbar. Moving either side we observe a drop in E4. We take this as the gas target being aligned.
Anton will now open the valves to the gas target.
Run 10 stopped. |
Attachment 1: Screenshot_from_2024-05-30_10-48-43.png
|
|
Attachment 2: Screenshot_from_2024-05-30_10-49-43.png
|
|
Attachment 3: Screenshot_from_2024-05-30_10-50-34.png
|
|
Attachment 4: Screenshot_from_2024-05-30_10-51-40.png
|
|
Attachment 5: Screenshot_from_2024-05-30_10-52-51.png
|
|
Attachment 6: Screenshot_from_2024-05-30_10-53-53.png
|
|
Attachment 7: Screenshot_from_2024-05-30_10-56-23.png
|
|
Attachment 8: Screenshot_from_2024-05-30_10-56-42.png
|
|
Attachment 9: Screenshot_from_2024-05-30_10-57-56.png
|
|
Attachment 10: Screenshot_from_2024-05-30_11-35-08.png
|
|
Attachment 11: Screenshot_from_2024-05-30_11-36-07.png
|
|
Attachment 12: Screenshot_from_2024-05-30_12-58-20.png
|
|
Attachment 13: Screenshot_from_2024-05-30_13-10-18.png
|
|
562
|
Thu May 30 08:33:21 2024 |
CB, PW, YL | Run 8 & 9- detector motion tests |
09:34 - Moved to Run 9 in preparation of moving detectors. No target yet. Beam in the ring at 750 keV/A.
Temperatures OK (attach)
System wide checks OK except 16 fails clock and ADC calib
Rates OK (attach)
Writing to disk OK (attach)
Resetting the sorting script. Moving detectors.
Moved detectors in. See attached. Stripe / shadow quite clear. Some oddities on the top-right DSSD. May be due to ExEy cuts and multiplicity cuts.
Moved on tun run 9 to acquire some stats without motion.
10:13 Temperatures still OK. Rates OK. Leakage currents stable.
We were cutting the beam.
Increased repetition to x5.
Motors fully out - run 10 started. |
Attachment 1: Screenshot_from_2024-05-30_09-33-55.png
|
|
Attachment 2: Screenshot_from_2024-05-30_09-35-41.png
|
|
Attachment 3: Screenshot_from_2024-05-30_09-36-23.png
|
|
Attachment 4: Screenshot_from_2024-05-30_09-50-27.png
|
|
Attachment 5: Screenshot_from_2024-05-30_09-52-29.png
|
|
Attachment 6: Screenshot_from_2024-05-30_09-57-27.png
|
|
Attachment 7: Screenshot_from_2024-05-30_10-00-25.png
|
|
561
|
Thu May 30 07:25:53 2024 |
Yuri, Phil, Nikos | Gas target |
The cave is closed and beam is back
The d intensity is about half of the intensity before the break
The gas target is prepared but the valvas cannot be opened. Anton is coming in at around 1 PM to open the gate valves. |
560
|
Thu May 30 06:00:51 2024 |
Yuri Nikos ... | Open the cave |
Nikos needs to enter the cave to work on the target cooling.
|
559
|
Wed May 29 17:42:06 2024 |
TD | Offline analysis APR24/R2 (pulser walkthrough) |
ADC offsets using pulser walkthrough data from data file APR24/R2
ch = channel + ( module * 64 ) + ( range * 2048 )
adc_data( ch ) = INT( RSHIFT( ABS( adc_data( ch ) - 32768 ), 3 ) - offset( ch ) + 0.5 )
per FEE64 ADC spectra - attachments 1-16
Note common x & y-scales - peak height proxy for peak width
aida01 1.8.L (s7) pulser peak width 9 ch FWHM (~50keV FWHM)
ADC offset analysis
/home/td/Calibration/offsets /home/td/CARME/R2 50 2048 20 5
First pass sort variables NAMELIST I/O format ( ADC offsets, FEE64 configuration, LEC & HEC equal energy cuts ) - attachment 17 |
Attachment 1: Screenshot_from_2024-05-28_20-54-05.png
|
|
Attachment 2: Screenshot_from_2024-05-28_20-54-58.png
|
|
Attachment 3: Screenshot_from_2024-05-28_20-55-45.png
|
|
Attachment 4: Screenshot_from_2024-05-28_21-14-54.png
|
|
Attachment 5: Screenshot_from_2024-05-28_21-15-49.png
|
|
Attachment 6: Screenshot_from_2024-05-28_21-16-20.png
|
|
Attachment 7: Screenshot_from_2024-05-28_21-16-46.png
|
|
Attachment 8: Screenshot_from_2024-05-28_21-18-21.png
|
|
Attachment 9: Screenshot_from_2024-05-28_21-27-03.png
|
|
Attachment 10: Screenshot_from_2024-05-28_21-27-42.png
|
|
Attachment 11: Screenshot_from_2024-05-28_21-28-12.png
|
|
Attachment 12: Screenshot_from_2024-05-28_21-28-46.png
|
|
Attachment 13: Screenshot_from_2024-05-28_21-29-16.png
|
|
Attachment 14: Screenshot_from_2024-05-28_21-29-40.png
|
|
Attachment 15: Screenshot_from_2024-05-28_21-30-14.png
|
|
Attachment 16: Screenshot_from_2024-05-28_21-30-48.png
|
|
Attachment 17: variables.dat
|
$variables
offset( 0) = 31.70
offset( 1) = 1.56
offset( 2) = -20.38
offset( 3) = -19.76
offset( 4) = 13.07
offset( 5) = 4.85
offset( 6) = -21.16
offset( 7) = 22.27
offset( 8) = -5.26
offset( 9) = -4.43
offset( 10) = 1.25
offset( 11) = -0.48
offset( 12) = 9.68
offset( 13) = 2.46
offset( 14) = -5.27
offset( 15) = 9.41
offset( 16) = 0.90
offset( 17) = -2.60
offset( 18) = 22.25
offset( 19) = -19.17
offset( 20) = 1.48
offset( 21) = -9.92
offset( 22) = 11.92
offset( 23) = 12.82
offset( 24) = 12.26
offset( 25) = -7.54
offset( 26) = 12.66
offset( 27) = -23.36
offset( 28) = 9.50
offset( 29) = 17.75
offset( 30) = -23.58
offset( 31) = 21.56
offset( 32) = 7.89
offset( 33) = -11.31
offset( 34) = -25.22
offset( 35) = -5.51
offset( 36) = -13.56
offset( 37) = -3.33
offset( 38) = -15.50
offset( 39) = 0.09
offset( 40) = -12.56
offset( 41) = -26.14
offset( 42) = 3.57
offset( 43) = -14.70
offset( 44) = 12.66
offset( 45) = -36.78
offset( 46) = 24.58
offset( 47) = -8.98
offset( 48) = -18.05
offset( 49) = -7.85
offset( 50) = -25.85
offset( 51) = -15.22
offset( 52) = -10.96
offset( 53) = -17.87
offset( 54) = -29.36
offset( 55) = -9.94
offset( 56) = 10.20
offset( 57) = -23.00
offset( 58) = -22.69
offset( 59) = -21.56
offset( 60) = 27.94
offset( 61) = 3.41
offset( 62) = 6.03
offset( 63) = -10.52
offset( 64) = 7.65
offset( 65) = 5.94
offset( 66) = 0.52
offset( 67) = 1.01
offset( 68) = -7.82
offset( 69) = -22.59
offset( 70) = 9.05
offset( 71) = 23.35
offset( 72) = 23.79
offset( 73) = 3.42
offset( 74) = 2.83
offset( 75) = 2.65
offset( 76) = 3.05
offset( 77) = 6.05
offset( 78) = -12.53
offset( 79) = -13.37
offset( 80) = 5.99
offset( 81) = -6.94
offset( 82) = 0.39
offset( 83) = -12.79
offset( 84) = -1.48
offset( 85) = 20.06
offset( 86) = 1.26
offset( 87) = -12.90
offset( 88) = 18.92
offset( 89) = -3.11
offset( 90) = -8.23
offset( 91) = -6.89
offset( 92) = -7.46
offset( 93) = 0.15
offset( 94) = -17.01
offset( 95) = -8.25
offset( 96) = -31.52
offset( 97) = 16.13
offset( 98) = 15.45
offset( 99) = 24.67
offset( 100) = -20.48
offset( 101) = 2.01
offset( 102) = -2.56
offset( 103) = 42.23
offset( 104) = 4.75
offset( 105) = 15.76
offset( 106) = 11.44
offset( 107) = -34.81
offset( 108) = -28.62
offset( 109) = -21.31
offset( 110) = -24.33
offset( 111) = -5.05
offset( 112) = 15.62
offset( 113) = 5.20
offset( 114) = 42.22
offset( 115) = 16.79
offset( 116) = -0.78
offset( 117) = -12.94
offset( 118) = 24.25
offset( 119) = 16.62
offset( 120) = -6.90
offset( 121) = 28.25
offset( 122) = 23.14
offset( 123) = 11.89
offset( 124) = -6.48
offset( 125) = 10.15
offset( 126) = 55.36
offset( 127) = 21.89
offset( 128) = -14.38
offset( 129) = -4.43
offset( 130) = -17.38
offset( 131) = 35.78
offset( 132) = -42.46
offset( 133) = -8.70
offset( 134) = 15.69
offset( 135) = -26.25
offset( 136) = -21.07
offset( 137) = -35.26
offset( 138) = -10.76
offset( 139) = -31.95
offset( 140) = 6.11
offset( 141) = -19.00
offset( 142) = -13.84
offset( 143) = -17.20
offset( 144) = 7.83
offset( 145) = -8.38
offset( 146) = -15.68
offset( 147) = -5.98
offset( 148) = 9.85
offset( 149) = -21.39
offset( 150) = -42.83
offset( 151) = -55.99
offset( 152) = -8.53
offset( 153) = 0.97
offset( 154) = -29.26
offset( 155) = -16.40
offset( 156) = -3.32
offset( 157) = -42.87
offset( 158) = -42.72
offset( 159) = -26.85
offset( 160) = -36.87
offset( 161) = -33.16
offset( 162) = -10.69
offset( 163) = -23.53
offset( 164) = 10.43
offset( 165) = -10.48
offset( 166) = -31.70
offset( 167) = 21.74
offset( 168) = 2.27
offset( 169) = -7.56
offset( 170) = -19.47
offset( 171) = 25.12
offset( 172) = -17.19
offset( 173) = -7.30
offset( 174) = -44.54
offset( 175) = -17.26
offset( 176) = -29.31
offset( 177) = -12.46
offset( 178) = -33.61
offset( 179) = -9999.99
offset( 180) = -31.25
offset( 181) = -5.14
offset( 182) = 5.87
offset( 183) = -25.05
offset( 184) = -34.61
offset( 185) = -19.87
offset( 186) = -3.71
offset( 187) = -30.59
offset( 188) = 1.07
offset( 189) = -48.50
offset( 190) = -34.50
offset( 191) = -25.18
offset( 192) = -37.17
offset( 193) = -40.22
offset( 194) = -38.76
offset( 195) = -52.65
offset( 196) = -37.83
offset( 197) = -5.82
offset( 198) = -7.01
offset( 199) = -4.33
offset( 200) = -4.06
offset( 201) = -36.15
offset( 202) = -14.17
offset( 203) = -32.62
offset( 204) = -39.21
offset( 205) = -7.39
offset( 206) = -10.53
offset( 207) = -36.56
offset( 208) = -34.01
offset( 209) = -61.07
offset( 210) = -15.10
offset( 211) = -35.46
offset( 212) = -7.47
offset( 213) = -35.09
offset( 214) = -78.70
offset( 215) = -29.20
offset( 216) = -22.09
offset( 217) = -22.15
offset( 218) = -16.24
offset( 219) = -31.62
offset( 220) = -32.45
offset( 221) = -45.59
offset( 222) = -45.27
offset( 223) = 10.54
offset( 224) = 10.90
offset( 225) = -16.94
offset( 226) = -15.20
offset( 227) = -2.58
offset( 228) = -16.18
offset( 229) = -13.20
offset( 230) = -54.53
offset( 231) = -17.51
offset( 232) = -29.77
offset( 233) = -30.14
offset( 234) = -42.68
offset( 235) = -36.03
offset( 236) = -17.08
offset( 237) = -14.88
offset( 238) = -39.70
offset( 239) = -64.37
offset( 240) = -34.07
offset( 241) = 16.67
offset( 242) = 4.33
offset( 243) = -11.05
offset( 244) = 11.48
offset( 245) = -30.51
offset( 246) = 12.23
offset( 247) = -12.35
offset( 248) = 14.03
offset( 249) = -27.00
offset( 250) = 1.20
offset( 251) = -24.70
offset( 252) = -15.46
offset( 253) = -18.55
offset( 254) = 6.72
offset( 255) = -7.39
offset( 256) = -9999.99
offset( 257) = -9999.99
offset( 258) = 29.33
offset( 259) = 21.68
offset( 260) = -9999.99
offset( 261) = 33.37
offset( 262) = 1.22
offset( 263) = 30.59
offset( 264) = 2.99
offset( 265) = 10.75
offset( 266) = -27.39
offset( 267) = -9999.99
offset( 268) = -4.27
offset( 269) = -12.65
offset( 270) = 7.85
offset( 271) = -5.75
offset( 272) = -12.38
offset( 273) = -0.29
offset( 274) = -9999.99
offset( 275) = -39.58
offset( 276) = -26.97
offset( 277) = -19.65
offset( 278) = -28.28
offset( 279) = -23.94
offset( 280) = 5.51
offset( 281) = -9999.99
offset( 282) = -1.87
offset( 283) = -34.61
offset( 284) = 6.36
offset( 285) = -21.14
offset( 286) = -24.61
offset( 287) = -25.32
offset( 288) = -9999.99
offset( 289) = -4.38
offset( 290) = -13.00
offset( 291) = -1.66
offset( 292) = 34.08
offset( 293) = -2.70
offset( 294) = 2.43
offset( 295) = -9999.99
offset( 296) = 1.82
offset( 297) = 7.79
offset( 298) = -27.47
... 747 more lines ...
|
558
|
Wed May 29 16:46:21 2024 |
NP, AB, PM | Run7 - Measurements only with ion beam. |
18:19 Start or run 7: In beam has been optimzed up to now by Zoran and Claude. ~7E7 particles at injection. From now on, only the injection beamline is optimized by Operators.
Detectors IN (left arm pot =18.86 mm, right arm pot = 19.80 mm)
No Target
Beam is in the ring for ~6 seconds and total cycle time between injections is ~8 seconds
Injection beamline is still in process of optimization by operators
21:30
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
23:30
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
01:30
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Beam and Electron Coller failure (~00:35); Beam back (~01:08); Cooler back (~01:20)
03:00
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
Beam and Electron Coller failure (~01:35); Beam back (~01:55); Cooler back (~01:55)
04:40
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
06:00
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
07:00
Merger OK, Tape server OK
ADC data item statistics looks as before. OK
FEE temperatures look OK
System checks OK
Leakage current stable
|
Attachment 1: Screenshot_from_2024-05-29_21-49-57.png
|
|
Attachment 2: Screenshot_from_2024-05-29_21-50-14.png
|
|
557
|
Wed May 29 09:49:35 2024 |
JM, CB | Threshold changes / D+ beam |
09:00
R4 alpha background continues, currently R4_12
Temps OK, system checks unchanged from previous status (OK, aida16 clock and ADC issue)
10:30
R4 stopped and moved to the next run R5.
Lithium 3+ beam is not feasible at sufficient densities so we are switching to D+ at a beam energy of 0.75 MeV/u. A measurement of d+p at this energy would produce 3He at energies of ~900 keV.
The current threshold (slow comparator) has been 1 MeV (0x64). We lowered the thresholds in all FEE modules to 200 keV (0x14). ADC rates look fine in all FEEs (~tens of Hz) except in aida 15 and aida 16 which was ~several hundred kHz. Data rate to disk was 1.2 Mb/s
We increased the threshold in aida 15 and 16 only to 500 keV (0x32) and rates in aida 15 and 16 have dropped to <20 kHz. Data rate to disk now 220 kB/s.
11:00
R5 stopped. R6 started - background with reduced thresholds.
Attachment 1 - ADC data items statistics
Attachment 2 - Histogram browser statistics
Attachment 3 - Tape server
Attachment 4 - New Merger
13:12
R6 continues R6_9
Edits to the CARME sort code have been made. EyEx energy cut for online monitoring reduced to 100 keV. Multiplicity cut applies to lowEnergyEy, lowEnergyEx and lowEnergyXY histograms. Multiplicity cut considers only single strip events.
15:00
D+ beam is now in the ring and is being optimised by the beam operators. The CARME detectors are currently fullt out, but we can see some effects of the beam on the online monitoring code. |
Attachment 1: Screenshot_from_2024-05-29_11-00-20.png
|
|
Attachment 2: Screenshot_from_2024-05-29_11-01-30.png
|
|
Attachment 3: Screenshot_from_2024-05-29_11-01-47.png
|
|
Attachment 4: Screenshot_from_2024-05-29_11-02-03.png
|
|
556
|
Wed May 29 08:10:32 2024 |
JM | Run4 - alpha background |
09:00
R4 alpha background continues, currently R4_12
Temps OK, system checks unchanged from previous status (OK, aida16 clock and ADC issue) |
555
|
Tue May 28 15:25:21 2024 |
TD, JM, CB | Pulser tests |
R3 started
Pulser ON. Approx 2 kHZ.
1.8*w waveforms attachments 1+2
ADC data items statistics - attchment 3
1.8*L spectra - attachments 4+5
Pulser widths are larger than during testing prior to the Feb beamtime. Widths in Channels;
aida01 = 76
aida02 = 82
aida03 = will upate all later tonight..
R3 ended. Pulser OFF
17:15
R4 Started. Alpha background run.
|
Attachment 1: Screenshot_from_2024-05-28_16-14-56.png
|
|
Attachment 2: Screenshot_from_2024-05-28_16-15-43.png
|
|
Attachment 3: Screenshot_from_2024-05-28_16-16-18.png
|
|
Attachment 4: Screenshot_from_2024-05-28_16-20-05.png
|
|
Attachment 5: Screenshot_from_2024-05-28_16-28-46.png
|
|
554
|
Tue May 28 12:38:31 2024 |
TD, JM, CB | Run 2 - Alpha background run |
13.35 FEE64 temperatures OK - attachment 1
ADC data item rates OK - attachment 2
overall rate dominated by 2x hot channels aida15
per FEE64 Stat spectra - attachment 3
spectra consistent with April alpha background test
note high HEC data stats for aida12 asic#2 - can probably be fixed by ASIC control check
per 1.8.W spectra - 20us FSR - attachments 4-5
note 100kHz ripple observed for some FEE64s cf. https://elog.ph.ed.ac.uk/CARME/544
Run stopped 15:15 |
Attachment 1: Screenshot_from_2024-05-28_13-35-03.png
|
|
Attachment 2: Screenshot_from_2024-05-28_13-34-30.png
|
|
Attachment 3: Screenshot_from_2024-05-28_13-33-19.png
|
|
Attachment 4: Screenshot_from_2024-05-28_13-36-30.png
|
|
Attachment 5: Screenshot_from_2024-05-28_13-37-16.png
|
|
553
|
Mon May 27 15:25:08 2024 |
JM | Startup |
27/05/24
The carme-gsi computer has been moved from the server room into the shifter control room.
Startup of the DAQ looks OK. Settings loaded 2024Apr24
System wide checks OK. Aida16 clock status fails. Aida16 ADC calibration fails. Rest OK.
All FEEs look OK, we again see high rates in aida15 ~ 12k data items/sec, rate in other FEEs is 0.
Testing of the pulser widths has been done. Pulser widths will be uploaded soon.
Background run started. No beam, no target. File G22-201 and R2. Data being written is ~128 kb/s. Run will be left overnight, no night shift.
28/05/24
DAQ continues with alpha background run.
System wide checks OK. Aida16 clock status fails. Aida16 ADC calibration fails. Rest OK. Temps OK
Data can be seen in the spectrum browser on MIDAS. CARME monitoring code was started incorrectly yesterday. It has now be restarted and a small number of events are seen |
552
|
Fri May 10 21:23:35 2024 |
TD, CB, JM | 20Ne7+ test beam |
22.00
Carsten Brandau stopping e-target experiment Unattended beam overnight. No operators watching.
10^5 (nominal) 20Ne7+ at 380keV/u beam available
Carsten reports beam is unstable, often disappearing, and in bunched mode.
4x MSL type TTT14 DSSSDs not moving, locked in out position
Starting CARME MIDAS DAQ ASIC settings 2024Apr24-14.14.03
slow comparator 0x64 E
G&G Ortec 448 Pulser OFF
DSSSD bias & leakage currents - attachment 1
FEE64 temperatures OK - attachment 2
All system wide checks OK, WR timestamps OK - attachment 3
ADC data item stats - attachment 4
Rates dominated by two hot channels aida15 per FEE64 Stat spectra - attachment 5
per FEE64 1.8.L spectra - attachments 6-7 no obvious beam energy peak, continuum of energies from below beam energy to threshold
per FEE64 1.8.W spectra - attachments 8-9
22.55 Start data file APR24/R5 Merger, TapeServer etc - attachments 10-12
270k data items/s
23:29 Activated CARMESort script. See attachments 13-15.
No obvious beam or signal of any kind. Temperatures OK.
00:13 DAQ continues OK. System-wide check OK. Temps OK. Still no clear sign of beam.
01:03 Daq continues OK. System wide checks OK, Temps OK.
Only low energies observed in the energy spectrum. Looks a bit like the low energy noise observed in our previous runs with no/very few counts above what would be beam energy (~7.6 MeV)
Note the xy plots are not all orientated correctly (strips are correct in the sort code but root axis need to be flipped - I can;t remember how atm). The part of the plots with counts are those closest to the beam.
02:00 DAQ continues OK. System wide checks OK. Temps OK.
Spectra still looks the same. I have noticed the right hand side rate is higher than the left. EyEx cut spectrum also looks like much more counts outside of the cut.
03:40
DAQ continues. All checks and temps OK.
I can now see a small peak at 5 MeV in the bottom left dssd. This dssd also has the least number of counts in the low energy continuum.
I have logged back into grafana on x2go to get the number ions in the ring. Attachment 17
04:23 (05:23 EU)
DAQ stopped, merger stopped, tape server stopped. Bias OFF. FEEs turned OFF. Screen shots taken of the monitoring code plots - not yet uploaded.
Windows and servers remain up in case we want to do some more tests in the near future.
Attachment 18 shows beam intensity for total run time from Grafana |
Attachment 1: Screenshot_from_2024-05-10_22-31-48.png
|
|
Attachment 2: Screenshot_from_2024-05-10_22-32-52.png
|
|
Attachment 3: Screenshot_from_2024-05-10_22-33-45.png
|
|
Attachment 4: Screenshot_from_2024-05-10_22-34-59.png
|
|
Attachment 5: Screenshot_from_2024-05-10_22-37-12.png
|
|
Attachment 6: Screenshot_from_2024-05-10_22-38-32.png
|
|
Attachment 7: Screenshot_from_2024-05-10_22-39-48.png
|
|
Attachment 8: Screenshot_from_2024-05-10_22-40-38.png
|
|
Attachment 9: Screenshot_from_2024-05-10_22-41-24.png
|
|
Attachment 10: Screenshot_from_2024-05-10_22-56-53.png
|
|
Attachment 11: Screenshot_from_2024-05-10_22-56-20.png
|
|
Attachment 12: Screenshot_from_2024-05-10_22-56-35.png
|
|
Attachment 13: Screenshot_from_2024-05-10_23-28-37.png
|
|
Attachment 14: Screenshot_from_2024-05-10_23-28-45.png
|
|
Attachment 15: Screenshot_from_2024-05-10_23-28-51.png
|
|
Attachment 16: Screenshot_from_2024-05-11_00-12-43.png
|
|
Attachment 17: 20240511_025056.jpg
|
|
Attachment 18: 20240511_042236.jpg
|
|
550
|
Sun Apr 28 23:12:11 2024 |
TD | Monday 28 April |
00.09 DAQ continues data file R3_21
DSSSD bias & leakage current OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra - attachment 4
Merger, TapeServer etc - attachments 5-7
04.50 DAQ continues data file R3_22
DSSSD bias & leakage current OK - attachment 8
FEE64 temps OK - attachment 9
ADC data item stats OK - attachment 10
per FEE64 Rate spectra - attachment 11
Merger, TapeServer etc - attachments 12-14
09.41 DAQ continues data file R3_23
DSSSD bias & leakage current OK - attachment 15
FEE64 temps OK - attachment 16
ADC data item stats OK - attachment 17
per FEE64 Rate spectra - attachment 18
Merger, TapeServer etc - attachments 19-21
09.43 DAQ stopped
DSSSD bias OFF
FEE64 power OFF |
Attachment 1: Screenshot_from_2024-04-29_00-09-18.png
|
|
Attachment 2: Screenshot_from_2024-04-29_00-09-45.png
|
|
Attachment 3: Screenshot_from_2024-04-29_00-10-33.png
|
|
Attachment 4: Screenshot_from_2024-04-29_00-10-52.png
|
|
Attachment 5: Screenshot_from_2024-04-29_00-11-04.png
|
|
Attachment 6: Screenshot_from_2024-04-29_00-11-29.png
|
|
Attachment 7: Screenshot_from_2024-04-29_00-11-47.png
|
|
Attachment 8: Screenshot_from_2024-04-29_04-50-25.png
|
|
Attachment 9: Screenshot_from_2024-04-29_04-50-52.png
|
|
Attachment 10: Screenshot_from_2024-04-29_04-51-38.png
|
|
Attachment 11: Screenshot_from_2024-04-29_04-52-01.png
|
|
Attachment 12: Screenshot_from_2024-04-29_04-52-43.png
|
|
Attachment 13: Screenshot_from_2024-04-29_04-53-05.png
|
|
Attachment 14: Screenshot_from_2024-04-29_04-53-22.png
|
|
Attachment 15: Screenshot_from_2024-04-29_09-41-28.png
|
|
Attachment 16: Screenshot_from_2024-04-29_09-42-01.png
|
|
Attachment 17: Screenshot_from_2024-04-29_09-42-43.png
|
|
Attachment 18: Screenshot_from_2024-04-29_09-42-58.png
|
|
Attachment 19: Screenshot_from_2024-04-29_09-43-08.png
|
|
Attachment 20: Screenshot_from_2024-04-29_09-43-28.png
|
|
Attachment 21: Screenshot_from_2024-04-29_09-43-41.png
|
|
549
|
Sat Apr 27 23:16:02 2024 |
TD | Sunday 28 April |
00.12 DAQ continues data file R3_15
DSSSD bias & leakage current OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra - attachment 4
Merger, TapeServer etc - attachments 5-7
05.32 DAQ continues data file R3_16
DSSSD bias & leakage current OK - attachment 8
FEE64 temps OK - attachment 9
ADC data item stats OK - attachment 10
per FEE64 Rate spectra - attachment 11
Merger, TapeServer etc - attachments 12-14
11.27 DAQ continues data file R3_17
DSSSD bias & leakage current OK - attachment 15
FEE64 temps OK - attachment 16
ADC data item stats OK - attachment 17
per FEE64 Rate spectra - attachment 21
Merger, TapeServer etc - attachments 18-20
11.33 aida16 asic #2 2x Check ASIC control
aida16 asic #4 2x Check ASIC control
18.53 DAQ continues data file R3_19
DSSSD bias & leakage current OK - attachment 22
FEE64 temps OK - attachment 23
ADC data item stats OK - attachment 24
per FEE64 Rate spectra - attachment 25
Merger, TapeServer etc - attachments 26-28
per FEE64 1.8.H - attachments 29-30
per FEE64 1.8.L - attachments 31-32
per FEE64 1.8.W - 20us FSR - attachments 33-36
19.10 CRYRING ambient temperature per https://web-docs.gsi.de/~lestinsk/tempplot.php - attachment 37 |
Attachment 1: Screenshot_from_2024-04-28_00-11-59.png
|
|
Attachment 2: Screenshot_from_2024-04-28_00-12-25.png
|
|
Attachment 3: Screenshot_from_2024-04-28_00-13-20.png
|
|
Attachment 4: Screenshot_from_2024-04-28_00-14-35.png
|
|
Attachment 5: Screenshot_from_2024-04-28_00-15-03.png
|
|
Attachment 6: Screenshot_from_2024-04-28_00-15-40.png
|
|
Attachment 7: Screenshot_from_2024-04-28_00-15-20.png
|
|
Attachment 8: Screenshot_from_2024-04-28_05-32-48.png
|
|
Attachment 9: Screenshot_from_2024-04-28_05-33-11.png
|
|
Attachment 10: Screenshot_from_2024-04-28_05-34-03.png
|
|
Attachment 11: Screenshot_from_2024-04-28_05-34-36.png
|
|
Attachment 12: Screenshot_from_2024-04-28_05-34-50.png
|
|
Attachment 13: Screenshot_from_2024-04-28_05-35-08.png
|
|
Attachment 14: Screenshot_from_2024-04-28_05-35-22.png
|
|
Attachment 15: Screenshot_from_2024-04-28_11-27-15.png
|
|
Attachment 16: Screenshot_from_2024-04-28_11-27-35.png
|
|
Attachment 17: Screenshot_from_2024-04-28_11-27-52.png
|
|
Attachment 18: Screenshot_from_2024-04-28_11-28-48.png
|
|
Attachment 19: Screenshot_from_2024-04-28_11-29-02.png
|
|
Attachment 20: Screenshot_from_2024-04-28_11-29-13.png
|
|
Attachment 21: Screenshot_from_2024-04-28_11-31-05.png
|
|
Attachment 22: Screenshot_from_2024-04-28_18-53-09.png
|
|
Attachment 23: Screenshot_from_2024-04-28_18-53-32.png
|
|
Attachment 24: Screenshot_from_2024-04-28_18-53-54.png
|
|
Attachment 25: Screenshot_from_2024-04-28_18-54-40.png
|
|
Attachment 26: Screenshot_from_2024-04-28_18-54-50.png
|
|
Attachment 27: Screenshot_from_2024-04-28_18-55-06.png
|
|
Attachment 28: Screenshot_from_2024-04-28_18-55-17.png
|
|
Attachment 29: Screenshot_from_2024-04-28_19-00-46.png
|
|
Attachment 30: Screenshot_from_2024-04-28_19-01-27.png
|
|
Attachment 31: Screenshot_from_2024-04-28_18-59-12.png
|
|
Attachment 32: Screenshot_from_2024-04-28_19-00-08.png
|
|
Attachment 33: Screenshot_from_2024-04-28_19-01-57.png
|
|
Attachment 34: Screenshot_from_2024-04-28_19-02-21.png
|
|
Attachment 35: Screenshot_from_2024-04-28_19-02-53.png
|
|
Attachment 36: Screenshot_from_2024-04-28_19-03-18.png
|
|
Attachment 37: CRY_temps.PNG
|
|
548
|
Fri Apr 26 23:41:16 2024 |
TD | Saturday 27 April |
00.34 DAQ continues data file R3_9
DSSSD bias & leakage current OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra - attachment 4
Merger, TapeServer etc - attachments 5-7
06.41 DAQ continues data file R3_10
DSSSD bias & leakage current OK - attachment 8
FEE64 temps OK - attachment 9
ADC data item stats OK - attachment 10
per FEE64 Rate spectra - attachment 11
Merger, TapeServer etc - attachments 12-14
10.56 DAQ continues data file R3_11
DSSSD bias & leakage current OK - attachment 15
FEE64 temps OK - attachment 16
ADC data item stats OK - attachment 17
per FEE64 Rate spectra - attachment 18
Merger, TapeServer etc - attachments 19-21
14.33 DAQ continues data file R3_12
DSSSD bias & leakage current OK - attachment 22
FEE64 temps OK - attachment 23
ADC data item stats OK - attachment 24
per FEE64 Rate spectra - attachment 25
Merger, TapeServer etc - attachments 26-28
18.31 DAQ continues data file R3_13
DSSSD bias & leakage current OK - attachment 29
FEE64 temps OK - attachment 30
ADC data item stats OK - attachment 31
per FEE64 Rate spectra - attachment 32
per FEE64 1.8.L spectra - attachments 33-34
Merger, TapeServer etc - attachments 35-37 |
Attachment 1: Screenshot_from_2024-04-27_00-34-52.png
|
|
Attachment 2: Screenshot_from_2024-04-27_00-35-25.png
|
|
Attachment 3: Screenshot_from_2024-04-27_00-36-07.png
|
|
Attachment 4: Screenshot_from_2024-04-27_00-36-34.png
|
|
Attachment 5: Screenshot_from_2024-04-27_00-36-49.png
|
|
Attachment 6: Screenshot_from_2024-04-27_00-37-14.png
|
|
Attachment 7: Screenshot_from_2024-04-27_00-37-37.png
|
|
Attachment 8: Screenshot_from_2024-04-27_06-41-34.png
|
|
Attachment 9: Screenshot_from_2024-04-27_06-42-06.png
|
|
Attachment 10: Screenshot_from_2024-04-27_06-42-48.png
|
|
Attachment 11: Screenshot_from_2024-04-27_06-43-08.png
|
|
Attachment 12: Screenshot_from_2024-04-27_06-43-19.png
|
|
Attachment 13: Screenshot_from_2024-04-27_06-43-36.png
|
|
Attachment 14: Screenshot_from_2024-04-27_06-43-50.png
|
|
Attachment 15: Screenshot_from_2024-04-27_10-55-54.png
|
|
Attachment 16: Screenshot_from_2024-04-27_10-56-21.png
|
|
Attachment 17: Screenshot_from_2024-04-27_10-56-38.png
|
|
Attachment 18: Screenshot_from_2024-04-27_10-57-26.png
|
|
Attachment 19: Screenshot_from_2024-04-27_10-57-45.png
|
|
Attachment 20: Screenshot_from_2024-04-27_10-58-04.png
|
|
Attachment 21: Screenshot_from_2024-04-27_10-58-16.png
|
|
Attachment 22: Screenshot_from_2024-04-27_14-33-33.png
|
|
Attachment 23: Screenshot_from_2024-04-27_14-34-04.png
|
|
Attachment 24: Screenshot_from_2024-04-27_14-34-52.png
|
|
Attachment 25: Screenshot_from_2024-04-27_14-35-08.png
|
|
Attachment 26: Screenshot_from_2024-04-27_14-35-19.png
|
|
Attachment 27: Screenshot_from_2024-04-27_14-35-50.png
|
|
Attachment 28: Screenshot_from_2024-04-27_14-35-34.png
|
|
Attachment 29: Screenshot_from_2024-04-27_18-31-01.png
|
|
Attachment 30: Screenshot_from_2024-04-27_18-31-22.png
|
|
Attachment 31: Screenshot_from_2024-04-27_18-31-40.png
|
|
Attachment 32: Screenshot_from_2024-04-27_18-32-27.png
|
|
Attachment 33: Screenshot_from_2024-04-27_18-33-04.png
|
|
Attachment 34: Screenshot_from_2024-04-27_18-33-47.png
|
|
Attachment 35: Screenshot_from_2024-04-27_18-34-04.png
|
|
Attachment 36: Screenshot_from_2024-04-27_18-34-22.png
|
|
Attachment 37: Screenshot_from_2024-04-27_18-34-44.png
|
|
547
|
Fri Apr 26 07:05:01 2024 |
TD | Friday 26 April |
08.02 DAQ continues data file R1_14
DSSSD bias & leakage current OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats OK - attachment 3
per FEE64 Rate spectra - attachment 4
Merger, TapeServer etc - attachments 5-7
10.40 Close file R1 and open file R2
Switch on pulser (relay line 50Hz)
per FEE64 Rate spectra - attachment 8
Check ASIC load x2 for aida09, aida10, aida12, aida15 aida16
per FEE64 Rate spectra - attachment 12
status quo ante
Pulser walkthrough
Pulser settings per https://elog.ph.ed.ac.uk/CARME/544
Amplituides 70,000-10,000 @ 10,000 step
per FEE64 1.8.L spectra - attachments 9-10
per FEE64 Rate spectra - attachment 11
11.05 Pulser OFF
Close file R2, open file R3
zero all histograms stats
background alpha run continues
20.33 DAQ continues data file R3_8
DSSSD bias & leakage current OK - attachment 13
FEE64 temps OK - attachment 14
ADC data item stats OK - attachment 15
per FEE64 Rate spectra - attachment 16
Merger, TapeServer etc - attachments 1-19
CAEN data log stopped 00:21 Friday 26 April
pi@nnrpi1:~/Programs/caenlogger $ caenlogger --config caenlogger_config_gsi.toml
2024-04-25 15:25:16.194 | INFO | caenlogger.__main__:main:117 - Config file provided.
Traceback (most recent call last):
File "/home/pi/.local/bin/caenlogger", line 10, in <module>
sys.exit(main())
File "/home/pi/.local/lib/python3.7/site-packages/caenlogger/__main__.py", line 135, in main
curses.wrapper(process, config_dic)
File "/usr/lib/python3.7/curses/__init__.py", line 94, in wrapper
return func(stdscr, *args, **kwds)
File "/home/pi/.local/lib/python3.7/site-packages/caenlogger/__main__.py", line 57, in process
Vmon = [ f for f in HV[5].strip().split(" ") if f ]
IndexError: list index out of range
Old log gzip'd and moved to directory ~/logs
[npg@carme-gsi ~]$ mv caen_current.dat.gz logs/0031260424-caen_current.dat.gz
20.45 Restarted logger program |
Attachment 1: Screenshot_from_2024-04-26_08-02-37.png
|
|
Attachment 2: Screenshot_from_2024-04-26_08-02-57.png
|
|
Attachment 3: Screenshot_from_2024-04-26_08-03-17.png
|
|
Attachment 4: Screenshot_from_2024-04-26_08-03-56.png
|
|
Attachment 5: Screenshot_from_2024-04-26_08-04-06.png
|
|
Attachment 6: Screenshot_from_2024-04-26_08-04-25.png
|
|
Attachment 7: Screenshot_from_2024-04-26_08-04-41.png
|
|
Attachment 8: Screenshot_from_2024-04-26_10-38-00.png
|
|
Attachment 9: Screenshot_from_2024-04-26_11-01-53.png
|
|
Attachment 10: Screenshot_from_2024-04-26_11-02-51.png
|
|
Attachment 11: Screenshot_from_2024-04-26_11-03-23.png
|
|
Attachment 12: Screenshot_from_2024-04-26_10-43-19.png
|
|
Attachment 13: Screenshot_from_2024-04-26_20-33-24.png
|
|
Attachment 14: Screenshot_from_2024-04-26_20-33-49.png
|
|
Attachment 15: Screenshot_from_2024-04-26_20-34-30.png
|
|
Attachment 16: Screenshot_from_2024-04-26_20-36-04.png
|
|
Attachment 17: Screenshot_from_2024-04-26_20-36-17.png
|
|
Attachment 18: Screenshot_from_2024-04-26_20-36-37.png
|
|
Attachment 19: Screenshot_from_2024-04-26_20-36-49.png
|
|
546
|
Thu Apr 25 02:31:25 2024 |
TD | Thursday 25 April |
03.27 DAQ continues - file APR24/R1_7
DSSSD bias & leakage current OK - attachment 1
ambient temperature 16.1 deg C
FEE64 temperatures OK - attachment 2
ADC data item stats OK - attachment 3
per n+n FEE64 1.8.L spectra - attachment 4
per FEE64 Stat spectra - attachment 5
Merger, TapeServer etc - attachments 6-8
10.19 DAQ continues - file APR24/R1_8
DSSSD bias & leakage current OK - attachment 9
ambient temperature 16.2 deg C
FEE64 temperatures OK - attachment 10
ADC data item stats OK - attachment 11
per FEE64 Stat spectra - attachment 12
Merger, TapeServer etc - attachments 13-15
NetVar merge variables - attachment 16
14.44 DAQ continues - file APR24/R1_10
DSSSD bias & leakage current OK - attachment 17
ambient temperature 16.5 deg C
FEE64 temperatures OK - attachment 18
ADC data item stats OK - attachment 19
per FEE64 Stat spectra - attachment 20
some HEC data has appeared
Merger, TapeServer etc - attachments 21-23
19.12 DAQ continues - file APR24/R1_11
DSSSD bias & leakage current OK - attachment 24
ambient temperature 16.5 deg C
FEE64 temperatures OK - attachment 25
ADC data item stats OK - attachment 26
per FEE64 Stat spectra - attachment 27
per FEE64 1.8.L spectra - attachments 28-29
Merger, TapeServer etc - attachments 30-32
23.14 DAQ continues - file APR24/R1_12
DSSSD bias & leakage current OK - attachment 33
ambient temperature 16.1 deg C
FEE64 temperatures OK - attachment 34
ADC data item stats OK - attachment 35
per FEE64 Stat spectra - attachment 36
Merger, TapeServer etc - attachments 37-39 |
Attachment 1: Screenshot_from_2024-04-25_03-26-58.png
|
|
Attachment 2: Screenshot_from_2024-04-25_03-27-48.png
|
|
Attachment 3: Screenshot_from_2024-04-25_03-28-19.png
|
|
Attachment 4: Screenshot_from_2024-04-25_03-28-41.png
|
|
Attachment 5: Screenshot_from_2024-04-25_03-32-43.png
|
|
Attachment 6: Screenshot_from_2024-04-25_03-30-13.png
|
|
Attachment 7: Screenshot_from_2024-04-25_03-30-37.png
|
|
Attachment 8: Screenshot_from_2024-04-25_03-30-55.png
|
|
Attachment 9: Screenshot_from_2024-04-25_10-19-09.png
|
|
Attachment 10: Screenshot_from_2024-04-25_10-19-31.png
|
|
Attachment 11: Screenshot_from_2024-04-25_10-19-54.png
|
|
Attachment 12: Screenshot_from_2024-04-25_10-20-14.png
|
|
Attachment 13: Screenshot_from_2024-04-25_10-20-26.png
|
|
Attachment 14: Screenshot_from_2024-04-25_10-20-53.png
|
|
Attachment 15: Screenshot_from_2024-04-25_10-21-22.png
|
|
Attachment 16: Screenshot_from_2024-04-25_10-21-45.png
|
|
Attachment 17: Screenshot_from_2024-04-25_14-44-05.png
|
|
Attachment 18: Screenshot_from_2024-04-25_14-44-29.png
|
|
Attachment 19: Screenshot_from_2024-04-25_14-44-49.png
|
|
Attachment 20: Screenshot_from_2024-04-25_14-45-51.png
|
|
Attachment 21: Screenshot_from_2024-04-25_14-46-35.png
|
|
Attachment 22: Screenshot_from_2024-04-25_14-46-53.png
|
|
Attachment 23: Screenshot_from_2024-04-25_14-47-05.png
|
|
Attachment 24: Screenshot_from_2024-04-25_19-12-30.png
|
|
Attachment 25: Screenshot_from_2024-04-25_19-13-01.png
|
|
Attachment 26: Screenshot_from_2024-04-25_19-13-39.png
|
|
Attachment 27: Screenshot_from_2024-04-25_19-14-02.png
|
|
Attachment 28: Screenshot_from_2024-04-25_19-14-40.png
|
|
Attachment 29: Screenshot_from_2024-04-25_19-15-24.png
|
|
Attachment 30: Screenshot_from_2024-04-25_19-15-58.png
|
|
Attachment 31: Screenshot_from_2024-04-25_19-16-12.png
|
|
Attachment 32: Screenshot_from_2024-04-25_19-16-30.png
|
|
Attachment 33: Screenshot_from_2024-04-25_23-14-01.png
|
|
Attachment 34: Screenshot_from_2024-04-25_23-14-23.png
|
|
Attachment 35: Screenshot_from_2024-04-25_23-14-41.png
|
|
Attachment 36: Screenshot_from_2024-04-25_23-16-04.png
|
|
Attachment 37: Screenshot_from_2024-04-25_23-16-12.png
|
|
Attachment 38: Screenshot_from_2024-04-25_23-16-25.png
|
|
Attachment 39: Screenshot_from_2024-04-25_23-16-42.png
|
|
545
|
Wed Apr 24 13:18:09 2024 |
TD | Examples of poor CARME cable management/routing |
Have observed some instances of poor CARME cable management/routing
Attachment 1 - SAES D-2000 ion pump HV cable (netal braid) transiting FEE64 cabling
Attachment 2 - CARME motor controller cable (grey plastic) transiting FEE64 cabling
General check and tidying up required. |
Attachment 1: 20240424_125858.jpg
|
|
Attachment 2: 20240424_125929.jpg
|
|
544
|
Wed Apr 24 12:48:35 2024 |
TD | Wednesday 24 April |
Replace AIDA ASIC mezzanine of aida01
13.43 DSSSD bias & leakage current OK - attachment 1
ambient temperature 16.5 deg C
FEE64 temperatures OK - attachment 2
All system wide checks OK
WR timestamps OK - attachment 3
ADC data item stats OK - attachment 4
per FEE64 Rate spectra - attachment 5
c. 10 strips (of 1024) not producing data
most missing strips are from aida05 - misaligned or damaged adaptor PCB?
per p+n FEE64 1.8.L spectra - attachment 6
aida01 pulser peak width 75 ch FWHM
common x/y scale => peak height proxy for peak width
per n+n FEE64 1.8.L spectra - attachment 7
aida01 pulser peak width 70 ch FWHM
per FEE64 1.8.W spectra - 20us FSR - attachments 8-11
Summary
All FEE64s appear to be working (except aida05 which has c. 8 missing channels) with pulser - which
validates the FEE64, ASICs and adaptor PCB.
Electronic noise c. 50keV FWHM for aida01 & aida03 - other FEE64s better/worse.
Preamplifier noise appears to be similar for all FEE64s which may suggest an issue with the test signal chain
Note
1) e-target is starting up
2) have identified some instances of poor CARME cable management/routing see https://elog.ph.ed.ac.uk/CARME/545
EG&G Ortec 448 Pulser
Amplitude 50,000
Normalise 5.00
x5 attenuator IN
t_r 100ns
tau_d 50us
Polarity +
Frequency relay line (50Hz)
Cooknell SA1 Summing Amplifier
inverted output to test - daisy chain
Current ASIC settings saved to 2024Apr24-14.14.03
14.48 Pulser OFF
All histograms & stats zero'd
LEC slow comparator 0x64 -> 0xff
HEC fast comparator 0x2 -> 0x5
background alpha run to validate signal path from DSSSD to FEE64 adaptor PCB
22.18 Start Merger & TapeServer - attachments 12-14
DSSSD bias & leakage current OK - attachment 21
ambient temperature 16.0 deg C
FEE64 temperatures OK - attachment 15
WR timestamps OK - attachment 16
ADC data item stats OK - attachment 17
per FEE64 Rate spectra - attachment 18
per p+n FEE64 1.8.L spectra - attachments 19-20 |
Attachment 1: Screenshot_from_2024-04-24_13-43-29.png
|
|
Attachment 2: Screenshot_from_2024-04-24_13-44-02.png
|
|
Attachment 3: Screenshot_from_2024-04-24_13-45-01.png
|
|
Attachment 4: Screenshot_from_2024-04-24_13-45-28.png
|
|
Attachment 5: Screenshot_from_2024-04-24_13-45-46.png
|
|
Attachment 6: Screenshot_from_2024-04-24_13-47-59.png
|
|
Attachment 7: Screenshot_from_2024-04-24_13-52-50.png
|
|
Attachment 8: Screenshot_from_2024-04-24_13-55-02.png
|
|
Attachment 9: Screenshot_from_2024-04-24_13-55-32.png
|
|
Attachment 10: Screenshot_from_2024-04-24_13-56-48.png
|
|
Attachment 11: Screenshot_from_2024-04-24_13-55-59.png
|
|
Attachment 12: Screenshot_from_2024-04-24_22-19-55.png
|
|
Attachment 13: Screenshot_from_2024-04-24_22-20-16.png
|
|
Attachment 14: Screenshot_from_2024-04-24_22-20-30.png
|
|
Attachment 15: Screenshot_from_2024-04-24_22-21-58.png
|
|
Attachment 16: Screenshot_from_2024-04-24_22-22-22.png
|
|
Attachment 17: Screenshot_from_2024-04-24_22-22-57.png
|
|
Attachment 18: Screenshot_from_2024-04-24_22-23-27.png
|
|
Attachment 19: Screenshot_from_2024-04-24_22-24-37.png
|
|
Attachment 20: Screenshot_from_2024-04-24_22-25-30.png
|
|
Attachment 21: Screenshot_from_2024-04-24_22-27-58.png
|
|
543
|
Tue Apr 23 17:44:41 2024 |
TD | Tuesday 23 April |
18.43 ASIC settings 2024Feb08-15.59.09
aida06 pulser peak width 66 ch FWHM |
Attachment 1: Screenshot_from_2024-04-23_18-53-39.png
|
|
Attachment 2: Screenshot_from_2024-04-23_18-56-31.png
|
|
Attachment 3: Screenshot_from_2024-04-23_18-58-49.png
|
|
Attachment 4: Screenshot_from_2024-04-23_18-59-18.png
|
|
Attachment 5: Screenshot_from_2024-04-23_19-02-02.png
|
|
Attachment 6: Screenshot_from_2024-04-23_19-05-12.png
|
|
Attachment 7: Screenshot_from_2024-04-23_19-05-42.png
|
|
Attachment 8: Screenshot_from_2024-04-23_19-06-15.png
|
|
Attachment 9: Screenshot_from_2024-04-23_19-10-40.png
|
|
Attachment 10: Screenshot_from_2024-04-23_19-14-23.png
|
|
Attachment 11: Screenshot_from_2024-04-23_19-16-12.png
|
|
Attachment 12: Screenshot_from_2024-04-23_19-16-46.png
|
|
542
|
Fri Apr 19 02:47:59 2024 |
TD | Friday 19 April |
03.45
10.01
10.05 DSSSD bias OFF
FEE64 power OFF |
Attachment 1: Screenshot_from_2024-04-19_03-45-09.png
|
|
Attachment 2: Screenshot_from_2024-04-19_03-46-43.png
|
|
Attachment 3: Screenshot_from_2024-04-19_03-47-13.png
|
|
Attachment 4: Screenshot_from_2024-04-19_03-48-48.png
|
|
Attachment 5: Screenshot_from_2024-04-19_10-53-30.png
|
|
Attachment 6: Screenshot_from_2024-04-19_10-53-54.png
|
|
Attachment 7: Screenshot_from_2024-04-19_10-54-37.png
|
|
Attachment 8: Screenshot_from_2024-04-19_10-57-32.png
|
|
Attachment 9: Screenshot_from_2024-04-19_10-58-15.png
|
|
Attachment 10: Screenshot_from_2024-04-19_10-59-15.png
|
|
Attachment 11: Screenshot_from_2024-04-19_11-00-45.png
|
|
Attachment 12: Screenshot_from_2024-04-19_11-04-21.png
|
|
541
|
Thu Apr 18 13:34:06 2024 |
TD, JG | Thursday 18 April |
14.30
per FEE64 1.8.L spectra
aida11 pulser peak width 52 ch FWHM
14.45 EG&G Ortec 448 Pulser OFF
all stats & histograms zero'd
alpha background
22.00 DSSSD bias and leakage current OK - attachment 10
FEE64 temperatures OK - attachment 11
ADC data item stats - attachment 12
per FEE64 Stat spectra - attachment 13
Comparison of attachment 9 (per FEE64 Rate spectra with pulser) indicates significant issues (downstream of adaptor PCB) for DSSSD #1
Possible issues asics #3 aida01 and possible adaptor PCB misalignment aida05 |
Attachment 1: Screenshot_from_2024-04-18_14-22-41.png
|
|
Attachment 2: Screenshot_from_2024-04-18_14-23-10.png
|
|
Attachment 3: Screenshot_from_2024-04-18_14-23-51.png
|
|
Attachment 4: Screenshot_from_2024-04-18_14-24-18.png
|
|
Attachment 5: Screenshot_from_2024-04-18_14-27-33.png
|
|
Attachment 6: Screenshot_from_2024-04-18_14-28-26.png
|
|
Attachment 7: Screenshot_from_2024-04-18_14-31-14.png
|
|
Attachment 8: Screenshot_from_2024-04-18_14-32-31.png
|
|
Attachment 9: Screenshot_from_2024-04-18_14-43-27.png
|
|
Attachment 10: Screenshot_from_2024-04-18_21-57-51.png
|
|
Attachment 11: Screenshot_from_2024-04-18_21-58-31.png
|
|
Attachment 12: Screenshot_from_2024-04-18_21-59-11.png
|
|
Attachment 13: Screenshot_from_2024-04-18_21-59-35.png
|
|
540
|
Wed Mar 6 10:47:11 2024 |
JG, TD | Wednesday 6 March - PEXARIA5 WR test |
Courtesy Nik Kurz, JG has installed a PEXARIA5 PCIe card adjacent to CARME - BuTIS single-mode fibre input
and HDMI output to root MACB input for distribution to the other MACBs and FEE64s.
The PEXARIA5 card provides equivalent functionality to the VETAR2 VME module that we have used to date.
Further information https://www.gsi.de/fileadmin/EE/Module/EXPLODER/pexaria5_14.pdf
Cooling water ON
Via Anydesk startup MIDAS and FEE64s
Power ON
DSSSD HV OFF ( not required for WR test )
FEE64 temperatures OK - attachment 1
All system wide checks OK
Info code 4 & 5 stats OK - attachments 2 & 3
10:48:46 WR timestamps OK - attachment 4
Timestamp (ns) converted to (s) corresponds to 09.49.22 GMT 6.3.24 which appears to be correct
11.00 Power OFF |
Attachment 1: temps.PNG
|
|
Attachment 2: stats_1.PNG
|
|
Attachment 3: stats_2.PNG
|
|
Attachment 4: wr_0949.PNG
|
|
539
|
Wed Feb 21 07:38:32 2024 |
TD | Wedneday 21 February |
10.54 23.2.24 Bias OFF |
Attachment 1: Screenshot_from_2024-02-20_18-40-08.png
|
|
Attachment 2: Screenshot_from_2024-02-20_21-30-08.png
|
|
Attachment 3: Screenshot_from_2024-02-21_01-00-13.png
|
|
Attachment 4: Screenshot_from_2024-02-21_05-10-07.png
|
|
Attachment 5: Screenshot_from_2024-02-21_08-30-06.png
|
|
Attachment 6: Screenshot_from_2024-02-21_20-45-58.png
|
|
Attachment 7: Screenshot_from_2024-02-21_20-46-12.png
|
|
Attachment 8: Screenshot_from_2024-02-22_00-51-21.png
|
|
Attachment 9: Screenshot_from_2024-02-22_14-02-29.png
|
|
Attachment 10: Screenshot_from_2024-02-22_14-02-44.png
|
|
Attachment 11: Screenshot_from_2024-02-22_19-00-08.png
|
|
Attachment 12: Screenshot_from_2024-02-22_19-00-31.png
|
|
Attachment 13: Screenshot_from_2024-02-23_11-53-32.png
|
|
Attachment 14: Screenshot_from_2024-02-23_11-53-45.png
|
|
Attachment 15: Capture_10.55.PNG
|
|
Attachment 16: Capture_18.00.PNG
|
|
Attachment 17: Capture_13.04.PNG
|
|
Attachment 18: Capture_23.52.PNG
|
|
Attachment 19: Capture_19.46.PNG
|
|
Attachment 20: Capture_07.30.PNG
|
|
Attachment 21: Capture_04.10.PNG
|
|
Attachment 22: Capture_00.00.PNG
|
|
Attachment 23: Capture_20.30.PNG
|
|
Attachment 24: Capture_17.40.PNG
|
|
538
|
Tue Feb 20 14:12:32 2024 |
JM | Shutdown |
CARME DAQ shutdown. FEEs turned OFF and all MIDAS server windows closed.
Detector bias turned OFF.
Final leakage current plot - attachment 1 |
Attachment 1: Screenshot_from_2024-02-20_15-11-40.png
|
|
537
|
Tue Feb 20 13:20:44 2024 |
OF, AG, JG, ML | R48 status check |
Doing afternoon check. Checked the leakage currents, all ok. Attachment 1
Temperatures ok, attchment 2
System wide check, all correct.
Statistics ok (as far as we can tell) attachment 3
Spectrum browser ok, attachment 4
Checked merger, spinner spinning...
Beam current is around 2.2 E7 and the target dencity is 6.3E11 cm2. |
Attachment 1: Screenshot_from_2024-02-20_14-22-05.png
|
|
Attachment 2: Screenshot_from_2024-02-20_14-22-49.png
|
|
Attachment 3: Screenshot_from_2024-02-20_14-29-39.png
|
|
Attachment 4: Screenshot_from_2024-02-20_14-34-55.png
|
|
536
|
Tue Feb 20 09:57:57 2024 |
OF, AG, ML | Run 48 status check |
Doing morning check. Checked the leakage currents, all ok. Attachment 1
Temperatures ok, attchment 2
System wide check, all correct.
Statistics ok (as far as we can tell) attachment 3
Spectrum browser ok, attachment 4
Checked merger, spinner spinning...
Attachment 5 shows the BPM scope (i.e. induced signals from the ion buchnes) for the duration of injection, bunching, acceleration, debunching.
Beam current is around 2.5E7 and the target dencity is 6.3E11 cm2. |
Attachment 1: Screenshot_from_2024-02-20_11-06-48.png
|
|
Attachment 2: Screenshot_from_2024-02-20_11-08-05.png
|
|
Attachment 3: Screenshot_from_2024-02-20_11-12-26.png
|
|
Attachment 4: Screenshot_from_2024-02-20_11-13-58.png
|
|
Attachment 5: 2024-02-20_11-37-46-304.png
|
|
535
|
Tue Feb 20 09:51:37 2024 |
JM | Run 48 beam on target |
Run 48 started |
534
|
Tue Feb 20 08:41:08 2024 |
OF, AG | still no beam CRYRING |
We have mosty empty injections into CRYRING. Only sporadically the beam with good intensity is injected.
Michael Lestinsky is currently working on the problem. |
533
|
Tue Feb 20 07:50:10 2024 |
JM | DAQ restart |
DAQ restarted. Run46. All appears OK, aida01 shows high rates across ASIC 4 even with no beam which could not be resolved via ASIC control. May suggest some damge.
Merger is running and tape server is writing data to disk. Data rate is about 1300 kB/sec from 800 kb/sec due to increase in aida01 rate.
FEE temps OK
All system checks OK
Detectors moved to IN position (left arm pot = 36.5 mm, right arm =70.49 mm). Target ON.
Lost beam again.
Run 47 started.
|
532
|
Mon Feb 19 21:46:32 2024 |
EH, EM, CB | Run 45 + end of late shift |
22:54
We have moved the gas jet target out (y=4000), the density has dropped to zero.
Started a new run (Run45) for background measurement.
Informed HKR that the experiment will be monitored remotely without on-site personnel. They will check on the beam intensity.
02:08
System wide checks OK
Temperatures OK
Leakage current going up - OK
Stats OK
Merger continues OK
Reset the online spectra (had not been done yet for this run).
20s rate looks fine, around 1000 counts/s on top left detector. Maybe we are hitting it with beam? But then we should be see a reduction in beam intensity, we do not.
04:00
System wide checks OK
Temps OK
Stats OK
Merger OK
06:20
Beam intensity quite unstable, but HKR is correcting - attach 7
Systems wide checks OK
Temps OK
Merger OK
Stats OK
Some interesting features can be seen in the online histograms, e.g. no peak at 16 MeV and a clear straight line in the Ex vs x plot.
07:20
DAQ crashed due to multiple merger / tape server / HTTPD server instances being opened on workspace 5
Cannot soft reboot. FEEs off. |
Attachment 1: Screenshot_from_2024-02-20_02-02-14.png
|
|
Attachment 2: Screenshot_from_2024-02-20_02-02-47.png
|
|
Attachment 3: Screenshot_from_2024-02-20_02-03-07.png
|
|
Attachment 4: Screenshot_from_2024-02-20_02-03-31.png
|
|
Attachment 5: Screenshot_from_2024-02-20_02-04-40.png
|
|
Attachment 6: Screenshot_from_2024-02-20_02-06-37.png
|
|
Attachment 7: Beam_intensity.png
|
|
Attachment 8: Screenshot_from_2024-02-20_06-15-54.png
|
|
Attachment 9: Screenshot_from_2024-02-20_06-16-09.png
|
|
Attachment 10: Screenshot_from_2024-02-20_06-16-39.png
|
|
Attachment 11: Screenshot_from_2024-02-20_06-17-11.png
|
|
Attachment 12: Screenshot_from_2024-02-20_06-17-35.png
|
|
Attachment 13: Screenshot_from_2024-02-20_06-18-29.png
|
|
Attachment 14: Screenshot_from_2024-02-20_06-18-58.png
|
|
531
|
Mon Feb 19 08:45:22 2024 |
JM, JG, SHB, EM, EH | Run 44 - cooled beam on target |
09:43
Run 44 started cooled beam on target
Detectors IN (left arm pot =36.5 mm, right arm pot = 70.49 mm). Stationary. Same as previous runs
Electron cooler voltage 751.4 V this corresponds to 1.3436 MeV/u. This should be back on the peak of the resonance.
Target density is 5.2e11 at/cm2, ring pressure looks OK YR09 1.72e-10 mbar. Target density is not very stable and requires small corrections in the x position to maintain a target
Horizontal beam position is -7.5 mm, vertical beam position is 0.0 mm. Same as previous run
Beam is in the ring for 6 seconds and total cycle time between injections is 10 seconds. Notice this is different than previous runs. Aim is to have a few different cycle time configurations to see effect on halo
Statistics, merger statistics, histograms all zero'd
10:05
FEE temps OK
System checks OK
Merger OK, tape server OK
Leakage current plot attachment 1
ADC data items stats attachment 2
Monitoring code rates attachment 3
11:08
FEE temps OK
System checks OK
Merger OK, tape server OK
Leakage current OK
ADC data items stats OK
Online spectra OK
12:30
FEE temps OK
System checks OK
Merger OK, tape server OK
Leakage current OK
ADC data items stats OK
Online spectra OK
14:03
FEE temps OK
System checks OK
Merger OK, tape server OK
Leakage current OK
ADC data items stats OK
Online spectra OK
15:36
FEE temps OK, system checks OK, merger OK,
Screenshot 4: temperatures
Screenshot 5: statistics
Screenhot 6 - 9: spectra (there is a persistent peak in aida13 in Layout8)
Screenshot 10: leakage current (current 1 seems to be going up slowly but steadily)
Screenshot 11: spectrum
17:18
FEE temps OK, system checks OK, merger OK,
Screenshot 12: temperatures
Screenshot 13: statistics
Screenhot 14 - 17: spectra (we still see a peak in aida13 in Layout8, but not always)
Screenshot 18: leakage current (current 1 seems to be going up slowly but steadily)
Screenshot 19: spectrum
19:27
FEE temps OK, system checks OK, merger OK,
Screenshot 20: temperatures
Screenshot 21: statistics
Screenhot 22 - 25: spectra (we still see a peak in aida13 in Layout8, but not always)
Screenshot 26: leakage current (current 1 seems to be going up slowly but steadily)
Screenshot 27: spectrum
21:57
FEE temps OK, system checks OK, merger OK,
Screenshot 28: temperatures
Screenshot 29: statistics
Screenhot 30 - 33: spectra (we still see a peak in aida13 in Layout8, but not always)
Screenshot 34: leakage current
Screenshot 35: spectrum
|
Attachment 1: Screenshot_from_2024-02-19_10-07-26.png
|
|
Attachment 2: Screenshot_from_2024-02-19_10-10-24.png
|
|
Attachment 3: Screenshot_from_2024-02-19_10-10-50.png
|
|
Attachment 4: Screenshot_from_2024-02-19_15-31-30.png
|
|
Attachment 5: Screenshot_from_2024-02-19_15-31-47.png
|
|
Attachment 6: Screenshot_from_2024-02-19_15-32-57.png
|
|
Attachment 7: Screenshot_from_2024-02-19_15-33-22.png
|
|
Attachment 8: Screenshot_from_2024-02-19_15-33-46.png
|
|
Attachment 9: Screenshot_from_2024-02-19_15-34-51.png
|
|
Attachment 10: Screenshot_from_2024-02-19_15-35-23.png
|
|
Attachment 11: Screenshot_from_2024-02-19_15-35-29.png
|
|
Attachment 12: Screenshot_from_2024-02-19_17-13-45.png
|
|
Attachment 13: Screenshot_from_2024-02-19_17-14-05.png
|
|
Attachment 14: Screenshot_from_2024-02-19_17-15-12.png
|
|
Attachment 15: Screenshot_from_2024-02-19_17-15-52.png
|
|
Attachment 16: Screenshot_from_2024-02-19_17-16-24.png
|
|
Attachment 17: Screenshot_from_2024-02-19_17-17-25.png
|
|
Attachment 18: Screenshot_from_2024-02-19_17-17-44.png
|
|
Attachment 19: Screenshot_from_2024-02-19_17-17-51.png
|
|
Attachment 20: Screenshot_from_2024-02-19_19-24-13.png
|
|
Attachment 21: Screenshot_from_2024-02-19_19-26-55.png
|
|
Attachment 22: Screenshot_from_2024-02-19_19-24-59.png
|
|
Attachment 23: Screenshot_from_2024-02-19_19-25-21.png
|
|
Attachment 24: Screenshot_from_2024-02-19_19-25-51.png
|
|
Attachment 25: Screenshot_from_2024-02-19_19-26-41.png
|
|
Attachment 26: Screenshot_from_2024-02-19_19-27-22.png
|
|
Attachment 27: Screenshot_from_2024-02-19_19-27-29.png
|
|
Attachment 28: Screenshot_from_2024-02-19_21-54-34.png
|
|
Attachment 29: Screenshot_from_2024-02-19_21-54-46.png
|
|
Attachment 30: Screenshot_from_2024-02-19_21-55-17.png
|
|
Attachment 31: Screenshot_from_2024-02-19_21-55-41.png
|
|
Attachment 32: Screenshot_from_2024-02-19_21-56-02.png
|
|
Attachment 33: Screenshot_from_2024-02-19_21-56-50.png
|
|
Attachment 34: Screenshot_from_2024-02-19_21-57-23.png
|
|
Attachment 35: Screenshot_from_2024-02-19_21-57-30.png
|
|
530
|
Mon Feb 19 06:01:17 2024 |
SHB, JM, JG | Run 42 morning shift |
07:00
Observed reduction in YR09 presure over last few hours in ML online plot. Beam intensity looks OK but target density was fluctuating quite a lot. Current value was 1.5e11.
Changed the nozzle position slightly which increased target density to 5.5e11. Pressure in YR09 looks OK.
I accidently scrolled slightly with the target code (only by 100 but am not sure). Target looks stable but I will not touch remotely again for fear of losing total target position.
Rates in ADC data items statistics and in the monitoring code look consistent with beam on target.
08:56
Target density reduced again to 1.5e11. Changed the nozzle position slightly in X and recovered 5.5e11 at/cm2
Beam intensity looks OK
FEE temps OK
System checks OK
Merger and tape server OK
Statistics ADC data items and spectrum browser rates OK
Merger stats attachement 5
leakage current plot attachment 6
Online monitoring code rates OK
09:33
Run stopped to change beam energy
|
Attachment 1: Screenshot_from_2024-02-19_08-11-42.png
|
|
Attachment 2: Screenshot_from_2024-02-19_08-12-02.png
|
|
Attachment 3: Screenshot_from_2024-02-19_08-12-27.png
|
|
Attachment 4: Screenshot_from_2024-02-19_08-12-47.png
|
|
Attachment 5: Screenshot_from_2024-02-19_08-57-23.png
|
|
Attachment 6: Screenshot_from_2024-02-19_08-59-08.png
|
|
529
|
Mon Feb 19 05:10:48 2024 |
TD | Run 42 night shift contd. |
06.03 DAQ continues file G22-86/R42_32
DSSSD bias & leakage current - attachments 1-2
DSSSD #0 trending upwards , DSSSD #1-3 trending downwards with decreasing ambient temperature
FEE64 temperatures OK - attachments 3-4
ADC data item stats - attachment 5
per FEE64 Rate spectra - attachments 6
target density 5.27e+11/cm2
beam stable for last 3 hours ( cannot read scale with X2Go ) |
Attachment 1: Screenshot_from_2024-02-19_06-03-26.png
|
|
Attachment 2: Screenshot_from_2024-02-19_06-03-41.png
|
|
Attachment 3: Screenshot_from_2024-02-19_06-04-07.png
|
|
Attachment 4: Screenshot_from_2024-02-19_06-05-27.png
|
|
Attachment 5: Screenshot_from_2024-02-19_06-05-51.png
|
|
Attachment 6: Screenshot_from_2024-02-19_06-06-15.png
|
|
528
|
Sun Feb 18 22:03:56 2024 |
TD | Run 42 night shift |
23.03 DAQ continues file G22-86/R42_21
ML CRYRING ambient temperature and vacuum - attachments 1 & 2
DSSSD bias & leakage current - attachments 3 & 24
DSSSD #0 trending upwards , DSSSD #1-3 trending downwards with decreasing ambient temperature
FEE64 temperatures OK - attachments 4-5
23.07 All system wide checks OK
WR timestamps OK - attachment 6
ADC, DISC, PAUSE and correlation stats OK - attachments 7-10
per FEE64 Rate spectra - attachments 11-12
per FEE64 Stat spectra - attachments 13-14
per FEE64 1.8.L, 1.8.H and 1.8.W 20us FSR spectra - attachments 15-20
Merger, Merger stats and TapeServer OK - attachments 21-23
online analysis spectra - attachments 25-30
23.28 all histograms, stats, Merger stats zero'd
online analysis restarted
23.35 target density 5.83e+11/cm2
beam no change in last 3 hours ( cannot read scale with X2Go )
see attachments 31-33
00.58 DAQ continues file G22-86/R42_24
DSSSD bias & leakage current - attachments 34-35
DSSSD #0 trending upwards , DSSSD #1-3 trending downwards with decreasing ambient temperature
FEE64 temperatures OK - attachments 36-37
ADC data item stats - attachment 38
per FEE64 Rate spectra - attachments 39
target density 5.75e+11/cm2
beam no change in last 3 hours ( cannot read scale with X2Go )
03.14 DAQ continues file G22-86/R42_28
DSSSD bias & leakage current - attachments 40-41
DSSSD #0 trending upwards , DSSSD #1-3 trending downwards with decreasing ambient temperature
FEE64 temperatures OK - attachments 42-43
ADC data item stats - attachment 44
per FEE64 Rate spectra - attachments 45
target density 5.91e+11/cm2
beam decreased in last 3 hours ( cannot read scale with X2Go )
see attachments 46-47
03:30 beam recovered
online analysis rates DSSSD#0 recovered to values observed at start of shift |
Attachment 1: Capture.PNG
|
|
Attachment 2: Capture_2.PNG
|
|
Attachment 3: Screenshot_from_2024-02-18_23-05-47.png
|
|
Attachment 4: Screenshot_from_2024-02-18_23-06-14.png
|
|
Attachment 5: Screenshot_from_2024-02-18_23-06-56.png
|
|
Attachment 6: Screenshot_from_2024-02-18_23-07-42.png
|
|
Attachment 7: Screenshot_from_2024-02-18_23-08-07.png
|
|
Attachment 8: Screenshot_from_2024-02-18_23-08-29.png
|
|
Attachment 9: Screenshot_from_2024-02-18_23-08-53.png
|
|
Attachment 10: Screenshot_from_2024-02-18_23-09-16.png
|
|
Attachment 11: Screenshot_from_2024-02-18_23-10-18.png
|
|
Attachment 12: Screenshot_from_2024-02-18_23-10-41.png
|
|
Attachment 13: Screenshot_from_2024-02-18_23-11-31.png
|
|
Attachment 14: Screenshot_from_2024-02-18_23-11-48.png
|
|
Attachment 15: Screenshot_from_2024-02-18_23-12-38.png
|
|
Attachment 16: Screenshot_from_2024-02-18_23-13-20.png
|
|
Attachment 17: Screenshot_from_2024-02-18_23-14-03.png
|
|
Attachment 18: Screenshot_from_2024-02-18_23-14-45.png
|
|
Attachment 19: Screenshot_from_2024-02-18_23-15-21.png
|
|
Attachment 20: Screenshot_from_2024-02-18_23-15-58.png
|
|
Attachment 21: Screenshot_from_2024-02-18_23-16-33.png
|
|
Attachment 22: Screenshot_from_2024-02-18_23-16-49.png
|
|
Attachment 23: Screenshot_from_2024-02-18_23-17-01.png
|
|
Attachment 24: Screenshot_from_2024-02-18_23-18-10.png
|
|
Attachment 25: Screenshot_from_2024-02-18_23-18-26.png
|
|
Attachment 26: Screenshot_from_2024-02-18_23-18-38.png
|
|
Attachment 27: Screenshot_from_2024-02-18_23-18-48.png
|
|
Attachment 28: Screenshot_from_2024-02-18_23-18-59.png
|
|
Attachment 29: Screenshot_from_2024-02-18_23-19-18.png
|
|
Attachment 30: Screenshot_from_2024-02-18_23-19-39.png
|
|
Attachment 31: 20240218_223433.jpg
|
|
Attachment 32: 20240218_223341.jpg
|
|
Attachment 33: 20240218_223509.jpg
|
|
Attachment 34: Screenshot_from_2024-02-19_00-54-56.png
|
|
Attachment 35: Screenshot_from_2024-02-19_00-55-14.png
|
|
Attachment 36: Screenshot_from_2024-02-19_00-55-44.png
|
|
Attachment 37: Screenshot_from_2024-02-19_00-56-14.png
|
|
Attachment 38: Screenshot_from_2024-02-19_00-56-42.png
|
|
Attachment 39: Screenshot_from_2024-02-19_00-57-31.png
|
|
Attachment 40: Screenshot_from_2024-02-19_03-14-25.png
|
|
Attachment 41: Screenshot_from_2024-02-19_03-14-48.png
|
|
Attachment 42: Screenshot_from_2024-02-19_03-15-18.png
|
|
Attachment 43: Screenshot_from_2024-02-19_03-15-43.png
|
|
Attachment 44: Screenshot_from_2024-02-19_03-16-04.png
|
|
Attachment 45: Screenshot_from_2024-02-19_03-16-50.png
|
|
Attachment 46: 20240219_022309.jpg
|
|
Attachment 47: 20240219_022316.jpg
|
|
527
|
Sun Feb 18 13:58:33 2024 |
AR, OF, JM | Run 42 - cooled beam on target |
Run 42 - 15:00
Detectors IN (left arm pot =36.54 mm, right arm pot = 70.49 mm). Stationary. Same as run 40
Electron cooler voltage 751.89 V this corresponds to 1.3444 MeV/u. This should be on the right of the peak of the resonance.
Target density is 5.03e11 at/cm2, ring pressure looks OK YR09 2.36e-10 mbar.
Horizontal beam position is -7.5 mm, vertical beam position is 0.0 mm. Same as run 40.
Beam is in the ring for 4 seconds and total cycle time between injections is 8 seconds. Notice this is the samet as run 40, different to run 35.
Statistics, merger statistics, histograms all zero'd
System wide checks ok. Temperatures ok. Leakage current ok. Merger ok.
Attatchment 1: Stats
Attatchment 2: Temperatures
Attatchments 3-6: Waveforms
Attatchment 7:Leakage current
Attatchment 8: Monitoring code rates
15.35 DSSSD #0 leakage current trending somewhat upwards cf. somewhat downwards for DSSSD #1-3
ML CRYRING hall ambient temprature c. 17.7. deg C and on downward trend.
Probably indicates radiation damage/surface charging of DSSSD #0 - leave DSSSD #0 biased for 24-48h following run and monitor leakage current
15:46
Seeing some beam intensity drops occasionally. Small drop that instantamnously went back up around 15:20 and then stbale beam unitl around 15:44 and then two small-time beam drops within 2 minutes. See attatchment 9. Continuing to monitor. Target density remains stable at 5e11.
16:45
Beam dropping again and continuing to drop (began at 16:35). Target density 4.7e11. Called HKR and they will try to increase the intensity. See attatchment 10.
17:00
System checks ok. Temperatures ok. Leakage current plot okay. Merger ok. Beam intensity still reduced to same amount as in attatchment 10). Target density approx 5e11.
Attatchment 1:1 Stats
Attatchment 12: Temperatures
Attatchments 13-16: Waveforms
Attatchment 17:Leakage current
Attatchment 18: Monitoring code rates
17:10
HKR cryring asked for target to be removed to check intensity. Target was removed.
17:17
Target back in, intensity back to around 2.5e7. Stable. Target desity 5e11.
18:42
All system checks and tempeatures ok. Beam stable. Target 2.3e11.
Leakage current: red line still trending upwards but slowly. See image 24.
10:30
Beam went down about 21:45. Called HKR and they were able to almost immediately restore the beam. Beam intensity ~2.5e7.
Target density 5.6e11 at/cm2, pressure in YR09 ~2.5e-10 mbar.
FEE temps and system check OK
Merger and tape server OK
Merger stats (attachment 25)
All rates in spectrum browser look OK
Monitoring code rates indicate beam on target.
|
Attachment 1: Screenshot_from_2024-02-18_15-06-55.png
|
|
Attachment 2: Screenshot_from_2024-02-18_15-07-13.png
|
|
Attachment 3: Screenshot_from_2024-02-18_15-07-56.png
|
|
Attachment 4: Screenshot_from_2024-02-18_15-08-32.png
|
|
Attachment 5: Screenshot_from_2024-02-18_15-09-10.png
|
|
Attachment 6: Screenshot_from_2024-02-18_15-10-02.png
|
|
Attachment 7: Screenshot_from_2024-02-18_15-10-44.png
|
|
Attachment 8: Screenshot_from_2024-02-18_15-11-03.png
|
|
Attachment 9: IMG_7922.jpg
|
|
Attachment 10: IMG_7932.jpg
|
|
Attachment 11: Screenshot_from_2024-02-18_16-58-04.png
|
|
Attachment 12: Screenshot_from_2024-02-18_16-58-17.png
|
|
Attachment 13: Screenshot_from_2024-02-18_16-59-30.png
|
|
Attachment 14: Screenshot_from_2024-02-18_17-00-01.png
|
|
Attachment 15: Screenshot_from_2024-02-18_17-00-32.png
|
|
Attachment 16: Screenshot_from_2024-02-18_17-00-53.png
|
|
Attachment 17: Screenshot_from_2024-02-18_17-01-32.png
|
|
Attachment 18: Screenshot_from_2024-02-18_17-01-45.png
|
|
Attachment 19: IMG_7934.jpg
|
|
Attachment 20: Screenshot_from_2024-02-18_18-42-41.png
|
|
Attachment 21: Screenshot_from_2024-02-18_18-43-16.png
|
|
Attachment 22: Screenshot_from_2024-02-18_18-43-35.png
|
|
Attachment 23: Screenshot_from_2024-02-18_18-43-58.png
|
|
Attachment 24: Screenshot_from_2024-02-18_18-44-24.png
|
|
Attachment 25: Screenshot_from_2024-02-18_18-44-44.png
|
|
Attachment 26: Screenshot_from_2024-02-18_18-44-52.png
|
|
Attachment 27: Screenshot_from_2024-02-18_22-42-10.png
|
|
526
|
Sun Feb 18 13:10:18 2024 |
TD | Sunday 18 February - DSSSD 0 aida01 and aida04 *.*.L spectra |
DSSSD 0 aida01 1*L spectra, aida04 **L spectra
Double peak structure feature of aida04 ( n+n Ohmic side ) strips. Single ( albeit sometimes broad peak ) observed in aida01 ( p+n junction side ) strips.
Not currently observed by DSSSD 1-3. DSSSD 0 high energy peak rate far higher than DSSSD 1-3.
Data from last week did not display this double peak but DSSSDs and beam have been moved since.
Unclear whether this reflects radiation damage and/or wafer/active area edge effects on the n+n side.
Might also reflect beam characteristics throughout cycle.
Requires calibration and dE cut to investigate.
JM comment -> early time cut analysis indicates this is a beam effect. DSSD#0 has majority of halo on it so double peak is very pronounced.
We observe double peak all DSSDs just to a much lower level. |
Attachment 1: Screenshot_from_2024-02-18_13-58-29.png
|
|
Attachment 2: Screenshot_from_2024-02-18_13-59-55.png
|
|
Attachment 3: Screenshot_from_2024-02-18_14-01-19.png
|
|
Attachment 4: Screenshot_from_2024-02-18_14-08-36.png
|
|
Attachment 5: Screenshot_from_2024-02-18_14-09-44.png
|
|
525
|
Sun Feb 18 07:53:51 2024 |
HW AG | Run 40 Morning Shift |
08:57 Doing morning check. Checked the leakage currents, all ok. Attachment 1
Tempritures of, attchment 2
System wide check, all correct.
Statistics ok, aida 4 was a little high, carlo oked it attachment 3 and 4 (hist)
Spectrum brozer, ok, attachment 5 and 6
Waveforem atachment 7 and 8
Checked merger, spinner spinning...
Attached screenshot of merger 9
11:15 Beam current is around 2.5E7 and the target dencity is 5.3E11 cm2, there was small isntability (dips) from the beam, reason unknown, all detectors stable.
Check leakage current, is stable, attachment 1
Tempreture ok, attachment 2
System wide check, all pass
Statistics checked, attachment 3, aida 4 is still high, histograms attachment 4
Spectrum browser ok, attachment 5 and 6
Waveforms attachment 7 and 8
Checked merger, ok, spinner is spinning,
Attachment 9 is the merger info
12:21 Targer intencity started dropping quite dramatically. The beam position X value was adjusted to -11000, we got back above 4E11 /cm2
13:08 Beam current is around 2.5E7 pretty stable, target is working, 61E11 /cm2, stable
Checked leakage current, stable, attachment 1
Temp. stable, atatchment 2
System wide chack, all pass
Statistics checked, attachemnt 3, aida 4 should be checked, histogram of rates 4 attached
Spectrum browser, attached 5 and 6
Waveform attached 7 and 8
Merger ok, spinner is pinning,
Attached 9 merger info
|
Attachment 1: Screenshot_from_2024-02-18_08-58-55.png
|
|
Attachment 2: Screenshot_from_2024-02-18_09-02-22.png
|
|
Attachment 3: Screenshot_from_2024-02-18_09-09-25.png
|
|
Attachment 4: Screenshot_from_2024-02-18_09-11-15.png
|
|
Attachment 5: Screenshot_from_2024-02-18_09-14-10.png
|
|
Attachment 6: Screenshot_from_2024-02-18_09-14-41.png
|
|
Attachment 7: Screenshot_from_2024-02-18_09-15-52.png
|
|
Attachment 8: Screenshot_from_2024-02-18_09-16-34.png
|
|
Attachment 9: Screenshot_from_2024-02-18_09-20-35.png
|
|
Attachment 10: Screenshot_from_2024-02-18_11-17-59.png
|
|
Attachment 11: Screenshot_from_2024-02-18_11-18-31.png
|
|
Attachment 12: Screenshot_from_2024-02-18_11-20-03.png
|
|
Attachment 13: Screenshot_from_2024-02-18_11-21-37.png
|
|
Attachment 14: Screenshot_from_2024-02-18_11-23-10.png
|
|
Attachment 15: Screenshot_from_2024-02-18_11-24-12.png
|
|
Attachment 16: Screenshot_from_2024-02-18_11-24-59.png
|
|
Attachment 17: Screenshot_from_2024-02-18_11-25-49.png
|
|
Attachment 18: Screenshot_from_2024-02-18_11-28-06.png
|
|
Attachment 19: Screenshot_from_2024-02-18_13-09-43.png
|
|
Attachment 20: Screenshot_from_2024-02-18_13-11-14.png
|
|
Attachment 21: Screenshot_from_2024-02-18_13-14-48.png
|
|
Attachment 22: Screenshot_from_2024-02-18_13-18-19.png
|
|
Attachment 23: Screenshot_from_2024-02-18_13-20-35.png
|
|
Attachment 24: Screenshot_from_2024-02-18_13-21-01.png
|
|
Attachment 25: Screenshot_from_2024-02-18_13-21-26.png
|
|
Attachment 26: Screenshot_from_2024-02-18_13-21-54.png
|
|
Attachment 27: Screenshot_from_2024-02-18_13-23-21.png
|
|
524
|
Sun Feb 18 00:16:55 2024 |
JM CB | Run 40 - night shift |
01:17
Run 40 continues.
Beam intensity looks OK. Oscillates between just below 2.5e7 and just below 3e7. (attachment 1)
Target density 4.3e11, YR09 pressure 1.54e-10 mbar.
Rates in monitoring code show beam on target.
FEE temperatures all OK - plot over time (attachment 2)
System check OK
Leakage current OK -trending down (attachment 3)
Tape server and merger OK. Merger stats (attachment 4)
ADC data items looks OK, spectrum browser rates OK
Spectrum browser stat (attachment 7 LOG scale) - looks like some HEC were firing previously. Spec browser histograms zero'd to monitor
pn + nn waveforms (attachments 5+6)
Monitoring code energy histograms (attachment 8)
02:40
X2go screen size has decreased further -> cannot see target density but can view beam intensity. Will use ML vac plot to help gauge beam on target
Beam intensity has decreased over the last hour but has now stabilised and is now ~2e7 at injection. Pressure in YR09 has decreased slightly accordingly from ML online but not significantly (still >1e-10 mbar)
Monitoring code rate plots indicate beam on target
All daq checks OK
Will continue to monitor beam intensity
04:14
Ring intensity has increased and appears stable back at 2.5e7.
Target density has slightly decreased to 3e11, ring pressure YR09 stable at 1.5e-10 mbar. I am reluctant to try and move the nozzle while my x2go screen is not 100%.
FEE temps OK
System checks OK
Merger and tape server OK
Rates in all FEEs look OK
Spectrum browser stats (attchment 9) no HEC firing
Monitoring code rates look OK -> beam on target (attachment 10)
05:14
Beam intensity looks OK, Target density 3.11e11. Ring pressure YR09 2e-10 mbar.
All checks OK
Monitoring code rate looks OK -> beam on target
06:20
Beam intensity OK, Target density 3.1e11. Pressure YR09 1.9e-10 mbar.
FEE temps OK
System checks OK
Statistics and rates of all FEEs OK
Merger and Tape server OK
Monitoring code rates look OK -> beam on target
Merger statistics (attachment 11)
7:15
Beam intensity 2E7, target density getting bad 2eE11. WIll fix it from the lab.
FEE temps OK
System checks OK
Merger OK
Stats OK |
Attachment 1: 20240218_011615.jpg
|
|
Attachment 2: Screenshot_from_2024-02-18_01-23-04.png
|
|
Attachment 3: Screenshot_from_2024-02-18_01-25-06.png
|
|
Attachment 4: Screenshot_from_2024-02-18_01-26-35.png
|
|
Attachment 5: Screenshot_from_2024-02-18_01-36-02.png
|
|
Attachment 6: Screenshot_from_2024-02-18_01-36-38.png
|
|
Attachment 7: Screenshot_from_2024-02-18_01-32-12.png
|
|
Attachment 8: Screenshot_from_2024-02-18_01-39-29.png
|
|
Attachment 9: Screenshot_from_2024-02-18_04-18-35.png
|
|
Attachment 10: Screenshot_from_2024-02-18_04-21-02.png
|
|
Attachment 11: Screenshot_from_2024-02-18_06-35-40.png
|
|
Attachment 12: Screenshot_from_2024-02-18_07-12-05.png
|
|
Attachment 13: Screenshot_from_2024-02-18_07-12-44.png
|
|
Attachment 14: Screenshot_from_2024-02-18_07-13-08.png
|
|
Attachment 15: Screenshot_from_2024-02-18_07-13-25.png
|
|
523
|
Sat Feb 17 14:29:03 2024 |
JM, CB, AR, AB | Run 40 - cooled beam on target |
15:27
Run 40 started cooled beam on target
Detectors IN (left arm pot =36.5 mm, right arm pot = 70.49 mm). Stationary. Same as run 35
Electron cooler voltage 751.095 this corresponds to 1.338 MeV/u. This should be on the left of the peak of the resonance.
Target density is 4e11 at/cm2, ring pressure looks OK YR09 1.43e-10 mbar.
Horizontal beam position is -7.5 mm, vertical beam position is 0.0 mm. Same as run 35.
Beam is in the ring for 4 seconds and total cycle time between injections is 8 seconds. Notice this is different than run 35.
Statistics, merger statistics, histograms all zero'd
15:39
System wide checks ok. Merger ok. Temperatures ok.
Attatchment 1: statistics
Attatchment 2: Temperatures
Attatchment 3-6: Waveforms. Layout 2 - (attach 4) had a strnageish waveform for aida11, stays after reload.It was the HEC firing so did an ASIC control and Zero'd histograms and all is okay.
Attatchment 7: Leakage current
17:04
System wide checks ok. Merger ok. Temperatures ok. The beam is on target and everything runs smoothly.
Attatchment 8: statistics
Attatchment 9: Temperatures
Attatchment 10-13: Waveforms. Everything looks ok.
Attatchment 14: Leakage current
18:55
System wide checks ok. Merger ok. Temperatures ok. The beam is on target and everything runs smoothly.
Beam transformer shows a small decrease in beam intensity, not drastic but small slow decrease, see attachment 22. Target density 4e11. Vacuum pressue ok.
Attatchment 15: Statistics
Attatchment 16: Temperatures
Attatchment 17-20: Waveforms
Attatchment 21: Leakage current
19:28
Run40 - Beam dropped intesntiy at 19:17, moved detectors out, called gleb. Beam intensity started increasing again - not sure whats happenig at present (see image 23).
20:26
Around 19:50 beam decreased again and stayed down for a while. Beam not stable. Gleb was called, target turned off, beam restabilied - around 2.5e7 ish. Unsure if it will remain stable during the night (image 24).
20:35
detectors moved back in. Target back in, stable at 4e11.
Potentiometer Left: 36.54 mm
Potentiometer Right: 70.49 mm
Beam stable at the moment (image 25).
System checks 20:40
Base Current Difference
aida03 fault 0x0 : 0x1 : 1
aida04 fault 0x0 : 0x1 : 1
aida05 fault 0x0 : 0x1 : 1
aida06 fault 0x0 : 0x1 : 1
aida07 fault 0x0 : 0x1 : 1
aida08 fault 0xe : 0xf : 1
aida09 fault 0xb : 0xc : 1
aida10 fault 0x0 : 0x1 : 1
aida13 fault 0x0 : 0x1 : 1
aida14 fault 0x0 : 0x1 : 1
FPGA Timestamp error counter test result: Passed 6, Failed 10
If any of these counts are reported as in error
The ASIC readout system has detected a timeslip.
That is the timestamp read from the time FIFO is not younger than the last
System wide checks ok except the FPGA timestamps - see error above - collected them and it went away. Merger ok. Temperatures ok. The beam is on target and everything runs smoothly for now.
Attatchment 26: Temperatures
Attatchment 27-30: Waveforms
Attatchment 31: Leakage current
21:50
FEE temperatures OK
System wide checks OK
Statistics and spectrum browser rate look OK
Merger and tape server OK
Monitoring code rates are consistent with beam on target
X2go seems to not be loading full screen for me - may be my laptop misbehaving?
Can see ring intensity has been stable for the last hour, target density is 4.33e11 and YR09 pressure is 1-2e-10 mbar.
23:22
Target density 4.3e11, beam intensity stable.
Monitoring code rates are consistent with beam on target
Fee temps all OK
System checks OK
Statistics and FEErates OK.
Merger and tape server OK
|
Attachment 1: Screenshot_from_2024-02-17_15-39-50.png
|
|
Attachment 2: Screenshot_from_2024-02-17_15-40-05.png
|
|
Attachment 3: Screenshot_from_2024-02-17_15-40-49.png
|
|
Attachment 4: Screenshot_from_2024-02-17_15-43-04.png
|
|
Attachment 5: Screenshot_from_2024-02-17_15-44-12.png
|
|
Attachment 6: Screenshot_from_2024-02-17_15-44-36.png
|
|
Attachment 7: Screenshot_from_2024-02-17_15-45-02.png
|
|
Attachment 8: Screenshot_from_2024-02-17_16-58-47.png
|
|
Attachment 9: Screenshot_from_2024-02-17_16-59-18.png
|
|
Attachment 10: Screenshot_from_2024-02-17_17-00-48.png
|
|
Attachment 11: Screenshot_from_2024-02-17_17-01-41.png
|
|
Attachment 12: Screenshot_from_2024-02-17_17-02-26.png
|
|
Attachment 13: Screenshot_from_2024-02-17_17-03-01.png
|
|
Attachment 14: Screenshot_from_2024-02-17_17-03-51.png
|
|
Attachment 15: Screenshot_from_2024-02-17_18-55-13.png
|
|
Attachment 16: Screenshot_from_2024-02-17_18-55-48.png
|
|
Attachment 17: Screenshot_from_2024-02-17_18-56-55.png
|
|
Attachment 18: Screenshot_from_2024-02-17_18-57-26.png
|
|
Attachment 19: Screenshot_from_2024-02-17_18-57-54.png
|
|
Attachment 20: Screenshot_from_2024-02-17_18-58-27.png
|
|
Attachment 21: Screenshot_from_2024-02-17_18-58-44.png
|
|
Attachment 22: IMG_7902.jpeg
|
|
Attachment 23: IMG_7903.jpeg
|
|
Attachment 24: IMG_7906.jpeg
|
|
Attachment 25: IMG_7907.jpeg
|
|
Attachment 26: Screenshot_from_2024-02-17_20-38-37.png
|
|
Attachment 27: Screenshot_from_2024-02-17_20-42-41.png
|
|
Attachment 28: Screenshot_from_2024-02-17_20-43-17.png
|
|
Attachment 29: Screenshot_from_2024-02-17_20-43-50.png
|
|
Attachment 30: Screenshot_from_2024-02-17_20-55-50.png
|
|
Attachment 31: Screenshot_from_2024-02-17_20-45-30.png
|
|
522
|
Sat Feb 17 13:21:44 2024 |
JM, CB | Beam on target - motors tests |
14:01
Run 36 started.
We put both detectors to the fully out position. Detectors then driven IN using the pnuematic motor only once the beam is ready and driven fully out before beam dumping.
We notice the right arm is significantly slower than the left arm and does not manage to go fully out before being told to go back in. This is not ideal.
Run stopped.
14:10
Run 37 started
Right arm is positioned at pot = 70.49 and remains stationary. The left arm is moved with the ring cycle. When IN left arm pot = 62.71 mm. When out left arm pot = 18.89 mm
Movement signals are being saved to jan MBS system and can be used to seperate out injection periods on other runs where both detectors will be stationary.
All beam and target settings are the same as for Run 35.
Spectrum browser rates for each FEE when left arm IN shown in (attachment 1). Rates dependent on beam cycle, when detectors move out, rates in left arm drop significantly as expected.
XY and energy histogram plots from monitoring code (attachments 2+3)
We see the Rutherford much more well defined on the bottom left detector now (it is further in and halo effect is reduced). Still some low energy counts (may be due to detector movement -> need to test without beam)
Top left detector energy histogram looks a lot different, still much halo effect.
we will collect some stats for 30 mins or so
14:57
Run 37 Stopped. Run 38 started.
Faraday cup to the ring closed. No beam in the ring. Left arm of the detectors still moving IN and out of the beam with the ring cycle.
Very little counts observed in energy spectra.
15:02
Run 38 stopped so Gleb can change the beam energy. Run 39 started.
|
Attachment 1: Screenshot_from_2024-02-17_14-33-51.png
|
|
Attachment 2: Screenshot_from_2024-02-17_14-36-27.png
|
|
Attachment 3: Screenshot_from_2024-02-17_14-39-38.png
|
|
521
|
Sat Feb 17 06:19:27 2024 |
JM, CB | Run 35 - contd |
07:10
FEE temperatures OK
System checks OK
Merger and tape server OK
Statistics look OK,
Rates in the detectors from the mointoring code indicate we have beam on target.
Detector leakge current appears to be following same pattern as yesterday -> temperature (attachment 1)
Merger stats (attachment 2) - quite a few more pause / resume statements than normal - noise last night?
Target density appears stable over the last 3 hours ~3.8e11 at/cm2, ring pressure stable YR09 ~1.5e-10 mbar
Beam injection looks stable over the last few hours.
09:08
FEE temperatures OK -aida03 and aida09 0.5 C over 65C warning.
system checks OK
ADC data item stats OK
Spectrum browser rate (attachment 3)
Merger and Tape server OK
Monitoring xy and energy histograms since zero'd last night (attachments 4+5)
Target density slight drop to ~3.5e11 at/cm2. Pressure in the ring stable. CB attempting to optimise target density and ring pressure.
Beam injection into the ring still good
11:04
FEE temperatures OK
System checks OK
ADC data items and spectrum browser rates OK
Target density ~4.6e11 at/cm2, ring pressure looks OK ~1e-10 mbar
Beam injection still good.
Merger and tape server OK
12:45
Beam injection over last 2 hours has been stable
Target density 4.75e11 and stable. ring pressures are stable.
FEE temperatures OK
System checks OK
ADC data items stats and spectrum browser rates look OK
Merger and tape server OK
14:01
We wish to test the beam ahlo on injection so are ending run
Run stopped. |
Attachment 1: Screenshot_from_2024-02-17_07-20-01.png
|
|
Attachment 2: Screenshot_from_2024-02-17_07-21-27.png
|
|
Attachment 3: Screenshot_from_2024-02-17_09-09-35.png
|
|
Attachment 4: Screenshot_from_2024-02-17_09-13-44.png
|
|
Attachment 5: Screenshot_from_2024-02-17_09-14-35.png
|
|
520
|
Fri Feb 16 22:03:27 2024 |
TD | Run 35 - night shift |
22.51 DAQ continues file G22-86/R35_36
DSSSD bias OK - attachments 1-2
FEE64 temperatures - attachments 3-4
CRYRING hall ambient temperature 20
FEE64 temps tracking ambient temperature - aida01, aida03, aida09 and aida15 - all ( just ) over 65 deg C
All system wide checks OK
WR timestamps OK - attachment 5
ADC, DISC and PAUSE data item stats - attachments 6-8
hot channels aida01 ( 91k ), aida04 ( 12k ), aida15 ( 11k )
per FEE64 Rate spectra - attachments 9-10
aida04 & aida13 only FEE64s with significant rates and systematic rate variation with channel #
per FEE64 1.8.L, 1.8.H and 1.8.W 20us FSR spectra - attachments 11-16
Merger, Merger stats and TapeServer OK - attachments 17-19
23.16 All histograms, stats and merger stats zero'd
Online analysis spectra - attachments 21-26
only the inner quadrants of the 4x DSSSDs are correctly mapped - attachment 21
observe double peak structure in DSSSD0 rate spectra - radiation damage most forward lab angle strips? - attachment 24
23.23 restart online analysis - zero spectra
23.30 YR12DTIC 2.5e7/s
YR09 vacuum 7e-11 - 1.2e-10 mbar
density 4e11/cm2
See attachments 27-34
01.30 DAQ continues file G22-86/R35_39
FEE64 temperatures - attachments 35-36
ADC data item stats - attachment 37
DSSSD leakage currents - attachment 38
YR12DTIC 2.5e7/s
YR09 vacuum 7e-11 - 1.2e-10 mbar
density 4.1e11/cm2
03.05 YR12DTIC 2.2e7/s
YR09 vacuum 7e-11 - 1.2e-10 mbar
density 3.5e11/cm2
DAQ continues file G22-86/R35_42
DSSSD leakage currents - attachment 39
FEE64 temperatures - attachments 40-41
ADC data item stats - attachment 42
05.05 DAQ continues file G22-86/R35_47
YR12DTIC 2.5e7/s
density 3.8e11/cm2
DAQ continues file G22-86/R35_42
DSSSD leakage currents - attachment 43
FEE64 temperatures - attachments 44-45
ADC data item stats - attachment 46
per FEE64 Rate spectra - attachments 47-48
aida12 asic #1 and # 2 HEC activity
and following Check ASIC load aida12
ADC data item stats - attachment 49
Shift Summary
Beam, target density and vacuum appear to have been stable overnight
FEE64 temperatures continue to cycle
Evidence of some radiation damage DSSSDD 0 presumably for most forward lab angle strips?
aida12 asic #1 and #2 high rate HEC activity - fixed by ASIC check load |
Attachment 1: Screenshot_from_2024-02-16_22-51-27.png
|
|
Attachment 2: Screenshot_from_2024-02-16_22-52-15.png
|
|
Attachment 3: Screenshot_from_2024-02-16_22-53-02.png
|
|
Attachment 4: Screenshot_from_2024-02-16_22-54-10.png
|
|
Attachment 5: Screenshot_from_2024-02-16_22-55-11.png
|
|
Attachment 6: Screenshot_from_2024-02-16_22-55-38.png
|
|
Attachment 7: Screenshot_from_2024-02-16_22-55-55.png
|
|
Attachment 8: Screenshot_from_2024-02-16_22-56-24.png
|
|
Attachment 9: Screenshot_from_2024-02-16_22-57-14.png
|
|
Attachment 10: Screenshot_from_2024-02-16_22-57-37.png
|
|
Attachment 11: Screenshot_from_2024-02-16_22-58-23.png
|
|
Attachment 12: Screenshot_from_2024-02-16_22-59-08.png
|
|
Attachment 13: Screenshot_from_2024-02-16_22-59-46.png
|
|
Attachment 14: Screenshot_from_2024-02-16_23-00-33.png
|
|
Attachment 15: Screenshot_from_2024-02-16_23-01-18.png
|
|
Attachment 16: Screenshot_from_2024-02-16_23-01-59.png
|
|
Attachment 17: Screenshot_from_2024-02-16_23-02-21.png
|
|
Attachment 18: Screenshot_from_2024-02-16_23-02-36.png
|
|
Attachment 19: Screenshot_from_2024-02-16_23-02-48.png
|
|
Attachment 20: Capture.PNG
|
|
Attachment 21: Screenshot_from_2024-02-16_23-18-08.png
|
|
Attachment 22: Screenshot_from_2024-02-16_23-18-22.png
|
|
Attachment 23: Screenshot_from_2024-02-16_23-18-34.png
|
|
Attachment 24: Screenshot_from_2024-02-16_23-19-00.png
|
|
Attachment 25: Screenshot_from_2024-02-16_23-19-13.png
|
|
Attachment 26: Screenshot_from_2024-02-16_23-19-26.png
|
|
Attachment 27: Capture.PNG
|
|
Attachment 28: 20240216_222850.jpg
|
|
Attachment 29: 20240216_222907.jpg
|
|
Attachment 30: 20240216_222736.jpg
|
|
Attachment 31: 20240216_222950.jpg
|
|
Attachment 32: 20240216_222747.jpg
|
|
Attachment 33: 20240216_222928.jpg
|
|
Attachment 34: 20240216_222941.jpg
|
|
Attachment 35: Screenshot_from_2024-02-17_01-24-57.png
|
|
Attachment 36: Screenshot_from_2024-02-17_01-26-57.png
|
|
Attachment 37: Screenshot_from_2024-02-17_01-27-34.png
|
|
Attachment 38: Screenshot_from_2024-02-17_01-29-05.png
|
|
Attachment 39: Screenshot_from_2024-02-17_03-07-38.png
|
|
Attachment 40: Screenshot_from_2024-02-17_03-08-05.png
|
|
Attachment 41: Screenshot_from_2024-02-17_03-08-57.png
|
|
Attachment 42: Screenshot_from_2024-02-17_03-09-26.png
|
|
Attachment 43: Screenshot_from_2024-02-17_05-06-46.png
|
|
Attachment 44: Screenshot_from_2024-02-17_05-07-11.png
|
|
Attachment 45: Screenshot_from_2024-02-17_05-08-19.png
|
|
Attachment 46: Screenshot_from_2024-02-17_05-09-42.png
|
|
Attachment 47: Screenshot_from_2024-02-17_05-11-03.png
|
|
Attachment 48: Screenshot_from_2024-02-17_05-11-27.png
|
|
Attachment 49: Capture.PNG
|
|
519
|
Fri Feb 16 10:02:41 2024 |
JM, OF, CB, AR, EM | Run 35 - cooled beam on target |
11:01
Run 35 started cooled beam on target
Detectors IN (left arm pot =36.5 mm, right arm pot = 70.49 mm)
Electron cooler voltage 751.4 this corresponds to 1.3436 MeV/u. This should be on the peak of the resonance.
Target density is 3.75e11 at/cm2, ring pressure looks OK YR09 1.43e-10 mbar.
Horizontal beam position is -7.5 mm, vertical beam position is 0.0 mm. Same as run 33.
Beam is in the ring for 8 seconds and total cycle time between injections is 12 seconds. Same as run 33
Beam injection looks stable. ~2e7 injected and no missed injections so far.
Merger OK, Tape server OK
Merger statistics zero'd
All histograms and statistics zero'd, monitoring code reset.
ADC data item statistics looks as before, very few counts until injection into the ring. OK
FEE temperatures look OK
System checks OK
Leakage current trending upwards, same as previous elog.
13:33
FEE temperatures OK - all FEEs at least 1.5C below vertex warning
ADC data items statistics OK
Merger and Tape server OK
Target density 3.8e11 at/cm2, ring pressure stable.
Ring injections look very stable
Leakage current now decreasing (attachment 1)
Monitoring code xy and energy histograms (attachment 2+3)
15:03
System wide checks ok. Merger ok. Temperatures ok.
Attatchment 4: Stats
Attatchment 5: Temperatures
Attatchments 6-9: Waveforms.
Attatchment 10: Leakage current
Attatchment 11: lowenergyEytotal plots
17:09
System wide check ok. Merger ok. Temperature ok.
Attachment 12: Stats
Attachment 13: Temperatures
Attachment 14-18: Waveforms (Layout 7: strange behaviour in aida01, went to ASIC Control and did "Check ASIC control" three times, which resolved the problem)
Attachment 19: Leakage current
Attachment 20: lowenergyEytotal plots
Gas pressure was going up a little bit: changed Y position of the nozzle from 1000 to 950.
17:55
The gas jet target pressure started to fall sharply at 17:34 and went down to zero within 2 minutes.
It came back while it we were changing the nozzle position but at 17:49 fell to zero again.
Currently waiting for Nikos to came and have look at it.
18:10
Target back in place and has a density of 4.04e11. Beam transformer looks similar to pre plummeting target density.
18.55 Check ASIC load FEE64 aida16 asic#3 HEC channels active
Following check load merger rate decreased significantly.
19:14
Gas density remains stable around 4e11 after the incident at 17:34 and the beam transformer singal looks ok too. Gas pressure ok.
Merger: All aidas turn green but the merger has decreased significantly (too about 306 000 items/sec) as mentioned above.
System wide check ok. Temperature ok.
Attachment 21: Stats
Attachment 22: Temperatures
Attachment 23-26: Waveforms
Attachment 27: Leakage current
|
Attachment 1: Screenshot_from_2024-02-16_13-44-25.png
|
|
Attachment 2: Screenshot_from_2024-02-16_13-46-26.png
|
|
Attachment 3: Screenshot_from_2024-02-16_13-46-52.png
|
|
Attachment 4: Screenshot_from_2024-02-16_14-56-20.png
|
|
Attachment 5: Screenshot_from_2024-02-16_14-56-38.png
|
|
Attachment 6: Screenshot_from_2024-02-16_14-58-01.png
|
|
Attachment 7: Screenshot_from_2024-02-16_14-58-31.png
|
|
Attachment 8: Screenshot_from_2024-02-16_14-59-59.png
|
|
Attachment 9: Screenshot_from_2024-02-16_15-00-11.png
|
|
Attachment 10: Screenshot_from_2024-02-16_15-00-54.png
|
|
Attachment 11: Screenshot_from_2024-02-16_15-02-24.png
|
|
Attachment 12: Screenshot_from_2024-02-16_16-54-55.png
|
|
Attachment 13: Screenshot_from_2024-02-16_16-55-17.png
|
|
Attachment 14: Screenshot_from_2024-02-16_16-56-23.png
|
|
Attachment 15: Screenshot_from_2024-02-16_16-57-08.png
|
|
Attachment 16: Screenshot_from_2024-02-16_16-58-01.png
|
|
Attachment 17: Screenshot_from_2024-02-16_17-04-41.png
|
|
Attachment 18: Screenshot_from_2024-02-16_17-06-07.png
|
|
Attachment 19: Screenshot_from_2024-02-16_17-08-45.png
|
|
Attachment 20: Screenshot_from_2024-02-16_17-20-51.png
|
|
Attachment 21: Screenshot_from_2024-02-16_19-10-26.png
|
|
Attachment 22: Screenshot_from_2024-02-16_19-10-39.png
|
|
Attachment 23: Screenshot_from_2024-02-16_19-11-56.png
|
|
Attachment 24: Screenshot_from_2024-02-16_19-12-21.png
|
|
Attachment 25: Screenshot_from_2024-02-16_19-12-50.png
|
|
Attachment 26: Screenshot_from_2024-02-16_19-13-32.png
|
|
Attachment 27: Screenshot_from_2024-02-16_19-14-12.png
|
|
518
|
Thu Feb 15 21:07:00 2024 |
JM, AB, AR, OF | Run33 - cooled beam on target |
22:27
Run 33 started cooled beam on target.
Detectors IN (left arm pot =36.5 mm, right arm pot = 70.49 mm)
Electron cooler voltage 754.945 this corresponds to 1.3499 MeV/u. This is above the resonance of interest but cooler settings cannot be altered without major beam tuning.
Target density is 4.1e11 at/cm2, ring pressure looks OK YR09 1.2e-10 mbar.
Horizontal beam position is -7.5 mm, vertical beam position is now 0.0 mm. This results in no beam halo in bottom left detector which should be good for seeing Rutherford.
Beam is in the ring for 8 seconds and total cycle time between injections is 12 seconds
FEE temperatures are OK. 3 FEEs are slightly too. (attachment 1)
System checks are OK.
Statistics look OK. High in the top left detector which is expected as majority of halo is there. (attachment 2+3)
Merger OK Tape server OK - 851 kB/s
Merger statistics (attachment 4)
Leakage current is increasing but is likely temperature related. ML temp plot has same pattern and it is a WARM day. (attachment 5)
Monitoring code - xy plot and Ey energy histograms (attachment 6+7). Rutherford rate looks higher -> looking peaky
00:14
System checks all OK
FEE temperatures unchanged. Tom has now set up plotting of aida09 fee temperatures in the second workspace.
Merger OK, tape server OK.
Target density remains about 4.1e11 at/cm2. Ring pressure ~1e-10 mbar. YR08 read close to 1e-9 but beam is able to circulate in the ring so will not change for now.
Rate statistics in all FEEs remain unchanged.
02:10
System checks OK
FEE temperatures unchanged
Merger OK
Target density OK. Ring pressure not great but cannot change remotely due to script not in screen and poor coding.
Beam stil on.
Leakage current trending up.
04.00 FEE64 temperatures - attachments 10-11
aida09 ( and other FEE64s ) on downward trend
CRYRING hall temperature - attachment 12
05:00
Ring pressure worsening and target getting thinner (4E11). Tweak nozzle when in the lab physically.
Beam still on around 3E7
Temperatures & Leakage currents OK. Same trends
Merger continues OK
Stats OK
System wide checks OK
07:00
Fee temperatures have reduced - all green
System check OK
ADC data items statistics unchanged.
Merger and tape server OK
Leakage current plot (attachment 15)
monitoring plots - xy plot and EY energy histogram (attachment 16+17 )
Pressure has dropped slightly over the last hour, target density remains stable 4.2e11. Beam transformer has dropped off in the last hour -> less beam target interactions -> lower pressure?
We still see count rates in the detectors.
08:18
Inconsistent beam into the ring continues. HKR are aware.
Temperatures OK
System checks OK
10:00
Beam is much more consistent now. We observe vast majority of shots injected into the ring and corresponding rate increase in the detectors via the monitoring code.
FEE temperatures OK
System wide checks OK
Merger and tape server OK
New merger stats (attachment 18)
ADC data item statistics OK
Leakage current plot (attachment 19)
Attachments 20+21: Cooler settings before and after changing to the new beam energy of 1.3435 MeV/u (copy from GSI olog)
10:24
Run33 stopped. Run 34 started. Changing beam energy to be on resonance.
Detectors moved fully out. Target moved out
Final spectrum browser stat for all FEEs before run ended (attachment 22) |
Attachment 1: Screenshot_from_2024-02-15_22-15-04.png
|
|
Attachment 2: Screenshot_from_2024-02-15_22-17-29.png
|
|
Attachment 3: Screenshot_from_2024-02-15_22-18-21.png
|
|
Attachment 4: Screenshot_from_2024-02-15_22-20-52.png
|
|
Attachment 5: Screenshot_from_2024-02-15_22-21-43.png
|
|
Attachment 6: Screenshot_from_2024-02-15_22-24-12.png
|
|
Attachment 7: Screenshot_from_2024-02-15_22-24-21.png
|
|
Attachment 8: Screenshot_from_2024-02-16_02-07-38.png
|
|
Attachment 9: Screenshot_from_2024-02-16_02-10-16.png
|
|
Attachment 10: Screenshot_from_2024-02-16_04-03-13.png
|
|
Attachment 11: Screenshot_from_2024-02-16_04-04-08.png
|
|
Attachment 12: Capture.PNG
|
|
Attachment 13: Screenshot_from_2024-02-16_05-04-19.png
|
|
Attachment 14: Screenshot_from_2024-02-16_05-04-47.png
|
|
Attachment 15: Screenshot_from_2024-02-16_07-06-22.png
|
|
Attachment 16: Screenshot_from_2024-02-16_07-06-40.png
|
|
Attachment 17: Screenshot_from_2024-02-16_07-07-40.png
|
|
Attachment 18: Screenshot_from_2024-02-16_10-07-21.png
|
|
Attachment 19: Screenshot_from_2024-02-16_10-08-02.png
|
|
Attachment 20: olog-before.pdf
|
|
Attachment 21: olog-after.pdf
|
|
Attachment 22: Screenshot_from_2024-02-16_10-13-24.png
|
|
517
|
Thu Feb 15 13:18:30 2024 |
JG | MBS DAQ: target & ring values |
We are running an MBS DAQ to record the attached list of slow control values of the ring and the target. In most cases this is achieved by converting analog monitor voltages to frequencies and recording them with a VME scaler (VULOM4B with scaler-firmware). The complete list of signals is attached including a channel mapping.
!! Target values are currently not provided, we can only record ring/cooler values !!
We do a scaler readout with a 1Hz trigger rate right now. This can be changed. This generates events with trigger number 1.
Additionally, we receive triggers from motor movement (trigger 2 & trigger 3) and from target ON and target OFF (trigger 12 & trigger 13).
Each event of any trigger type is WR timestamped.
There is also a Go4 configured for online monitoring.
Details below. Or contact Jan Glorius.
----------------------------------------
Basic info and how-to for MBS
----------------------------------------
linux-user: cry_exp
VME CPU: r4l-58
DAQ PC: atppc025
data storage: lxg1275:/data.local2/2024_CRYRING_16O_jet
The DAQ is running in a screen session "target_DAQ" on atppc025. Enter by typing
> screen -x "target_DAQ"
SSH connections to r4l-58 are active in tabs 0 and 1. Type [ctrl]+[a] then [x] to switch to tab x.
If the SSH connection is lost type
> ssh cry_exp@r4l-58
> cd /esr/usr/cry_exp/2024_CRYRING_jet/mbs
MBS is running in tab 1. If it has an error, type [ctrl]+[z] to exit MBS, then
> resl
> mbs
mbs> @startup
mbs> @connect
to start a new file recording type
mbs> @runstart
If an error occures during @runstart, try @connect again.
Inside MBS you monitoring options:
mbs> show acq (will show you trigger and data rates and status)
mbs> show file (will show you the file status)
mbs> type ev -v 10 (will display 10 subsequent events from the data stream)
The trigger rate is currently 1Hz.
The trigger logic and setup in configured in /esr/usr/cry_exp/2024_CRYRING_jet/mbs/jet.trlo
-----------------------
Go4 monitoring
-----------------------
Go4 is running on atppc025 in the directory /u/cry_exp/Beamtimes/202402_O2+/go4_target
From this directory you can run it by typing
> go4 monitoring.hotstart
|
Attachment 1: 2024_jet_daq.txt
|
ch 1: jet_E1
ch 2: jet_E2
ch 3: jet_E3
ch 4: jet_E4
ch 5: jet_S1
ch 6: jet_S2
ch 7: jet_S3
ch 8: jet_S4
ch 9: jet_WWK
ch 10: jet_VV1
ch 11: jet_VV2
ch 12: jet_P0
ch 13: jet_T1
ch 14: jet_T2
ch 15: ring_RF
ch 16: Schottky_span0
ch 17: HV_cooler
ch 18: collector_current
ch 19: inhibit
|
516
|
Thu Feb 15 12:40:52 2024 |
JM. CB, AR, AB | Run30 - uncooled beam on target |
Run started 13:40
Target density 4.3e11, Beam injection is ~1e7, Pressure in the ring is ~1e-10 mbar.
Detectors have been moved IN with servo motors only (left arm pot = 36.5 mm, right arm pot =37.7 mm
Time between injections is 12 seconds. Beam is in the ring for 3 seconds of the cycle.
System wide checks OK
Temperatures OK
Leakage current plot attached -> temperature fluctuations (attachment 1)
ADC data items stats (attachment 2)
Merger and tape server OK
All histograms and statistics zero'd
14:17
Cave access required. No beam
15:24
No beam. System wide checks ok. Merger ok.
Attatchment 3: stats
Attatchment 4: Temperatures generally okay, 3 were red before reload, one red after reload.
Attatchment 5-8: Waveforms. Layout 7 and 8 look different from yesterday, consistnely different after several reloads.
Attatchment 9: Leakage current
Attatchment 10: LOWENERGYEXEY PLOTS
17:12
Tunning beam. System wide checks ok. Merger ok.
Attatchment 11: stats
Attatchment 12: Temperatures generally okay, 3 were red reloaed and stayed red but not so high.
Attatchment 13-16: Waveforms. Were the same as previous check.
Attatchment 17: Leakage current. They are tunning the beam so its a little bit changed.
19:28
Still hvae unstable noncooled beam, currently being worked on.
Tunning beam. System wide checks ok. Merger ok.
Attatchment 18: Temperatures generally okay, 3 were red reloaed and stayed red but not so high and were slighlty dicrease.
Attatchment 19-22: Waveforms. Were the same as previous check.
Attatchment 23: Leakage current. Slowly increasing from last check in, highest its been but conditions are not great at the moment.
21:10
We did not have any update about the beam. They still work on it.
Tunning beam. System wide checks ok. Merger ok. Stats were ok.
Attatchment 24: Temperatures generally okay, Same 3 were red and they increased a little bit. Nothing too concerning.
Attatchment 25-28: Waveforms. Were the same as previous check.
Attatchment 29: Leakage current. Contining to increase by small amount from last check.
|
Attachment 1: Screenshot_from_2024-02-15_13-45-42.png
|
|
Attachment 2: Screenshot_from_2024-02-15_13-48-57.png
|
|
Attachment 3: Screenshot_from_2024-02-15_15-19-31.png
|
|
Attachment 4: Screenshot_from_2024-02-15_15-19-55.png
|
|
Attachment 5: Screenshot_from_2024-02-15_15-21-25.png
|
|
Attachment 6: Screenshot_from_2024-02-15_15-21-53.png
|
|
Attachment 7: Screenshot_from_2024-02-15_15-22-32.png
|
|
Attachment 8: Screenshot_from_2024-02-15_15-23-13.png
|
|
Attachment 9: Screenshot_from_2024-02-15_15-23-38.png
|
|
Attachment 10: Screenshot_from_2024-02-15_15-24-02.png
|
|
Attachment 11: Screenshot_from_2024-02-15_17-00-51.png
|
|
Attachment 12: Screenshot_from_2024-02-15_17-01-28.png
|
|
Attachment 13: Screenshot_from_2024-02-15_17-03-31.png
|
|
Attachment 14: Screenshot_from_2024-02-15_17-05-14.png
|
|
Attachment 15: Screenshot_from_2024-02-15_17-07-20.png
|
|
Attachment 16: Screenshot_from_2024-02-15_17-09-17.png
|
|
Attachment 17: Screenshot_from_2024-02-15_17-11-12.png
|
|
Attachment 18: Screenshot_from_2024-02-15_19-22-45.png
|
|
Attachment 19: Screenshot_from_2024-02-15_19-23-53.png
|
|
Attachment 20: Screenshot_from_2024-02-15_19-24-43.png
|
|
Attachment 21: Screenshot_from_2024-02-15_19-25-59.png
|
|
Attachment 22: Screenshot_from_2024-02-15_19-26-38.png
|
|
Attachment 23: Screenshot_from_2024-02-15_19-28-03.png
|
|
Attachment 24: Screenshot_from_2024-02-15_21-05-57.png
|
|
Attachment 25: Screenshot_from_2024-02-15_21-07-39.png
|
|
Attachment 26: Screenshot_from_2024-02-15_21-08-10.png
|
|
Attachment 27: Screenshot_from_2024-02-15_21-08-48.png
|
|
Attachment 28: Screenshot_from_2024-02-15_21-09-29.png
|
|
Attachment 29: Screenshot_from_2024-02-15_21-10-01.png
|
|
515
|
Thu Feb 15 10:39:50 2024 |
JM, JG, ML, AG, CB | Run29 - beam optimisation |
11:27
Run 29 started. Beam energy is 1.3435 MeV/u with a cooler voltage of 751.3 V.
We observe significantly greater count rate for top right detector (DSSD#1) now that the beam energy has been lowered. ADC over range? Beam target overlap change?
Plan to check optimisation of beam-target overlap and beam settings again.
12:40
Beam lost. Detectors out. R29_11
13:37
Electron cooler is not working again. We will have un-cooled beam again.
Will start a new run 30 for un-cooled beam on target. |
514
|
Wed Feb 14 16:52:46 2024 |
JM, AR, CB, JG | Run28 - beam on target |
Beam energy - 1.361 MeV/u
17:12
Run 28 Started - beam on target
Detectors IN (left arm pot = 36.5 mm, right arm pot = 70.5 mm)
Beam position (vertical offset -9mm, horizontal offset -7.5mm)
Ions circulate in the ring for 3 seconds before they are dumped. Total time between injections is 12 seconds.
Target density apporx 4.2e11 atoms/cm2, pressures in the ring are below 1e-10 mbar. (Attachment 1+2)
Leakage current plot (attachment 3) - change in leakage current is consistent with temperature variation from ML online plot.
18:18
FEE temperatures OK - aida09 is slightly hot - 65.06 C so slightly above vertex temp value of 65C and stays this way over several reloads (attachment 4)
System wide checks OK
Statistics (attachments 5+6) - aida09 and aida12 slightly high stats but still <1K. Check load does not resolve.
Tape server OK - writing ~730 kB/sec
Merger OK
Monitoring code XY plots and Ey energy histograms (attachments 7+8)
18:53 All checks OK
21:58
All system checks ok. Temperatures and leakage current ok. Merger ok.
Attatchment 9: Rates and stats - all below 1k
Attatchment 10: Temperatures
Attatchment 11-14: Waveforms layouts 1,2,7,8 respectively look okay
Attatchment 15: Leakge current
Attatchment 16: lowenergyXY plots
Vacuum pressure is ok.
23:01
system wide checks ok. Temperatures ok. Leakage current ok. Merger ok all went green. Waveforms same as 10pm check.
Statistics - aida09 and aida12 went over 1k (attach 17), but reloaded and settled down again seconds appart (attatch 18). Reloaded again at 23:14 and stats were aove 1k again (attatch 19).
Attatchment 20 is the leakage current, still ok.
Vacuum pressure ok (attatchment 21) - rises after small bump down from the regular value.
01:00
System wide checks ok. Temperatures ok. Leakage current ok (see attatchment 22). Merger ok all went green. Waveforms the same as 10pm check.
Statistics - aida09 and aida12 occasionally just over 1k when reloading a few times, but goes below mostly.
Vacuum pressure ok, stablised after small bump around 10ish (attatchment 23)
03:00
Ring vacuum suffered from long-term creep, especially in YR08. Tweaked nozzle position. Pressure improves, target density slightly affected. Attachment 24-25
Leakage current and temperature indicate we have an increase in temperature in the ring. This is confirmed by vacplot. Attachment 26-27
Merger continues OK 28
Rates and Stats show we have no beam. Going to the lab 29-30.
R28_22 NO BEAM
03:45
No beam in the ring, we are missing all the spills. Called HKR.
Detectors out in case beam manipulation is required.
Stat plot looked OK. 31
04:35
DAQ continues OK on run R28_24, still no beam
Temperatures slightly lower - OK
System wide checks - OK
Stats - OK
Merger - OK
05:44
Beam is back. It had been away since 1:30 am due to a fault in the new EC power supply. Fault reason unclear.
Detectors back in (left 36.54, right 70.49 mm)
R28_26 BEAM ON
(Beam likely off from R28_ 21-25 inclusive)
Temps as before
Stats now OK - att 32
Merger OK - att 33, 34
Stat spectra OK - att 35. Note slope in ASICS (Rutherford?)
Leakage current trending up - likely temperature related.
Ring pressure OK
Gas target 4.14E11
Beam at injection around 7.5E6. Could be higher. Asked for optimisation later today assuming current configuration holds.
06:59
Temperatures OK
System checks OK
Stats looking good in all FEEs except aida01 and aida15
Leakage current has levelled off - looks OK
Ring pressure looks OK and is trending down - target density 4.1e11
Merger and tape server OK
08:30
System checks all OK
Temperatures OK
Merger / tape server OK - data being written R28_29
Target density 4.1e11, ring pressure stable
09:00
Attachment 38 Spectrum browser stats for all FEEs (log scale)
Attachment 39-42 Spectrum browser *L histograms for select channels for each detector. MIDAS data is consistent with inline monitoring plots.
System checks OK
Beam still on - target density and ring pressure remain stable
11:28 We have access to the voltage dividers for the electron cooler. The voltage is -763.625 V. This corresponds to an electron energy of 746.795 eV and a beam energy of 1.361 MeV/u using ML ion calc.
This is above the resonance energy of 1.3435 MeV/u. We will now end the run and set the cooler voltage to this ion energy.
Run ended. Run 29 started while setting beam energy.
|
Attachment 1: 20240214_180331.jpg
|
|
Attachment 2: 20240214_180351.jpg
|
|
Attachment 3: Screenshot_from_2024-02-14_17-26-37.png
|
|
Attachment 4: Screenshot_from_2024-02-14_18-11-58.png
|
|
Attachment 5: Screenshot_from_2024-02-14_18-14-06.png
|
|
Attachment 6: Screenshot_from_2024-02-14_18-14-15.png
|
|
Attachment 7: Screenshot_from_2024-02-14_18-17-32.png
|
|
Attachment 8: Screenshot_from_2024-02-14_18-17-46.png
|
|
Attachment 9: Screenshot_2024-02-14_at_21.51.31.png
|
|
Attachment 10: Screenshot_2024-02-14_at_21.52.19.png
|
|
Attachment 11: Screenshot_2024-02-14_at_21.53.59.png
|
|
Attachment 12: Screenshot_2024-02-14_at_21.54.41.png
|
|
Attachment 13: Screenshot_2024-02-14_at_21.55.47.png
|
|
Attachment 14: Screenshot_2024-02-14_at_21.56.24.png
|
|
Attachment 15: Screenshot_2024-02-14_at_21.57.05.png
|
|
Attachment 16: Screenshot_2024-02-14_at_21.58.02.png
|
|
Attachment 17: Screenshot_2024-02-14_at_23.02.54.png
|
|
Attachment 18: Screenshot_2024-02-14_at_23.03.31.png
|
|
Attachment 19: Screenshot_2024-02-14_at_23.14.11.png
|
|
Attachment 20: Screenshot_2024-02-14_at_23.08.34.png
|
|
Attachment 21: Screenshot_2024-02-14_at_23.17.27.png
|
|
Attachment 22: Screenshot_2024-02-15_at_01.08.36.png
|
|
Attachment 23: Screenshot_2024-02-15_at_01.19.22.png
|
|
Attachment 24: Gasjet.png
|
|
Attachment 25: Pressure.png
|
|
Attachment 26: Screenshot_from_2024-02-15_03-07-02.png
|
|
Attachment 27: Screenshot_from_2024-02-15_03-07-44.png
|
|
Attachment 28: Screenshot_from_2024-02-15_03-20-37.png
|
|
Attachment 29: Screenshot_from_2024-02-15_03-14-58.png
|
|
Attachment 30: Screenshot_from_2024-02-15_03-20-18.png
|
|
Attachment 31: Screenshot_from_2024-02-15_03-59-38.png
|
|
Attachment 32: Screenshot_from_2024-02-15_05-47-18.png
|
|
Attachment 33: Screenshot_from_2024-02-15_05-47-31.png
|
|
Attachment 34: Screenshot_from_2024-02-15_05-47-45.png
|
|
Attachment 35: Screenshot_from_2024-02-15_05-48-27.png
|
|
Attachment 36: Screenshot_from_2024-02-15_05-48-41.png
|
|
Attachment 37: Screenshot_from_2024-02-15_07-01-48.png
|
|
Attachment 38: Screenshot_from_2024-02-15_08-48-58.png
|
|
Attachment 39: Screenshot_from_2024-02-15_08-52-55.png
|
|
Attachment 40: Screenshot_from_2024-02-15_08-54-09.png
|
|
Attachment 41: Screenshot_from_2024-02-15_08-56-27.png
|
|
Attachment 42: Screenshot_from_2024-02-15_08-58-01.png
|
|
513
|
Wed Feb 14 14:59:13 2024 |
JM, CB, NP, ML, AG, AB | Run 27 -Beam on target optimisation |
Run 27 Started to check optimsation of the beam - target overlap.
Beam intensity at injection is roughly 1e7 particles
Target density apporx 4.2e11 atoms/cm2 and stable.
Ring pressure is below 1e-10 mbar for all ring sections and stable
First optimisation procedure was to vary the horizontal beam position and see the effect on the count rate in detector #0 (top left) (see elog 509). Beam position was scanned from -9 and -4. Attachment 1 shows the maximum in count rate around the -7.5 position.
Second optimisation procedure was to change the time ions are allowed to circulate in the ring before being dumped. The count rate over 5 minutes in detector #0 (top left) at ~20 MeV was used as a gauge. This is the only part of the cycle we can control.
Time (s) Counts
2 148
3 200
4 178 |
Attachment 1: Target-overlap-scan-optimized.pdf
|
|
512
|
Wed Feb 14 14:06:28 2024 |
CB AR JM | Pulser Walkthrough |
15:06
Run25
Pulser walkthrough
EGG Ortec 448 Pulser
Amplitide 90,000-10,000 @ 10,000 step
Normalise 5.0
Attenuators x5 IN
t_r 100ns
Tau_d 50us
Frequency Int Osc 50Hz
Polarity + ( - polarity via Cooknell SA1 Sum & Invert Amp )
|
511
|
Wed Feb 14 08:44:32 2024 |
CB AR JM | Motors test and turbo ON |
Run number changed 09:44 - Now run 23
Moving motors with the injection
Ring signal very weird, moving in for short time and staying out for 15+ seconds.
R24
Right arm FULLY in (70.49 mm)
Left arm SERVOS ONLY in (36.54)
No appreciable effect on beam (not clipping beam at injection / during circulation)
ML fixed signal cycle. It's now 8 seconds in, 5 seconds out. Motors still not moving. Acquiring more stats in the current conditions.
11:07 - Temps & System wide checks good
Stats as before.
Leakage currents & pressure good.
11:44
Leakage current ok. pressure good. Temperatures ok. Merger ok. System wide checks ok.
In with the left 36.5
In with the right 70.5
Attatchment 1: Rates
Attatchment 2: Temperatures
Attatchment 3: Waveforms layout 7
Attatchment 4: Waveforms layout 8
Attatchment 5: Waveforms layout 1
Attatchment 6: Waveforms layout 2
Attatchment 7: Leakage current
Attatchment 8: lowenergyXYtotal all 4 dets
Attatchment 9: lowenergyExEy all dets
Attatchment 10: loveenergyvExEy
Attachment 11: screenshot from the Shottky
14:00 Run 24 stopped to allow access to the cave.
Helium and liquid nitrogen re-filled in the target.
Pulser walkthrough performed R25.
Turned CARME turbo ON, pressure in the ring with target on is reduced by a factor 2.
Tried to find electron cooler voltages to determine the beam energy. We were unable to do this. Hopefully we find out tomorrow.
|
Attachment 1: Screenshot_from_2024-02-14_11-31-38.png
|
|
Attachment 2: Screenshot_from_2024-02-14_11-31-52.png
|
|
Attachment 3: Screenshot_from_2024-02-14_11-32-34.png
|
|
Attachment 4: Screenshot_from_2024-02-14_11-33-52.png
|
|
Attachment 5: Screenshot_from_2024-02-14_11-34-51.png
|
|
Attachment 6: Screenshot_from_2024-02-14_11-35-17.png
|
|
Attachment 7: Screenshot_from_2024-02-14_11-38-43.png
|
|
Attachment 8: Screenshot_from_2024-02-14_11-44-19.png
|
|
Attachment 9: Screenshot_from_2024-02-14_11-44-26.png
|
|
Attachment 10: Screenshot_from_2024-02-14_11-44-32.png
|
|
Attachment 11: screenshot-2024-02-14_11-31-236868864019057183143.png
|
|
510
|
Tue Feb 13 17:19:43 2024 |
JM, AR, NP, AB, RSS | Run22 - cooled beam on target (definitely maybe) |
18:05 We believe we have an overlap between the beam and the target. Run 22 started.
CARME detectors in with the servo ONLY. Left arm pot 36.5 mm, right arm pot 37.7 mm
Target density apporx 2e11 atoms/cm2.
Shots into the rin partially stable (sometimes consecutive injections at high intensity, sometime apporx 1 in 4 fail rate).
We plan beam run overnight, remote shifters will check on the progress every 2 hours.
18:32
Everything ok. Temperatures and leakage current are okay.
Attachment 1: Rates
Attachment 2: Temperatures
Attachment 3: Waveforms layout 7
Attachment 4: Waveforms layout 8
Attachment 5: Waveforms layout 1
Attachment 6: Waveforms layout 2
Attachment 7: Leakage current
Attachment 8: xy plot for all four detectors.
21:00 Checks made, everything okay!
23:00 Checks made, everything okay!
Attachment 9: Rates
Attachment 10: Temperatures
Attachment 11: Waveforms layout 1
Attachment 12: Waveforms layout 2
Attachment 13: Waveforms layout 7
Attachment 14: Waveforms layout 8
Attachment 15: Leakage current
14.02.2023 01:00
Checks made, everything okay!
Attachment 16: Waveforms layout 1
Attachment 17: Waveforms layout 2
Attachment 18: Waveforms layout 7
Attachment 19: Waveforms layout 8
Attachment 20: Rates
Attachment 21: Temperatures
Attachment 22: Leakage current
02:27
FEE temperatures OK
System checks OK
ADC data items appears ok for all FEEs, except aoda09 shows rates of ~1kHz. Spectrum borwser shows this is from a single strip. Checl load aida09 several times but no change to the rate.
Leakage current appears fine. (Attachment 23)
Merger and tape server OK
Ring pressure appeared to have dropped and the target density had dropped to approx 5e10 at/cm2 range. Target nozzle moved 200 steps. Density back to 2.5e11 range and pressure is high -11 low -10 mbar range. <----- Operator mistake
XY plot all detector (attachment 24)
EyTotal all detectors (attachment 25)
05:08
Target density appears to have been very stable over the last few hours ~2e11 at/cm2. Ring pressure also appears stable.
FEE temperatures OK
System checks OK
ADC data items appears ok for all FEEs,Aida09 rate appears to be reduced again.
Leakage current appears fine.
Spectrum browser rates (attachment 26)
Spectrum browser stats (attachment 27)
Spectrum browser 1.8*L pn (attachment 28)
Spectrum browser 1.8*L nn (attachment 29)
New merger stats (attachment 30)
I am not confident we are seeing significant Rutherford scattering accumalated so far.
07:18
FEE temperatures OK
System checks OK
Stats OK
Leakage current appears fine.
Spectrum browser rates OK
Spectrum browser stats OK
Spectrum browser 1.8*L OK
Spectrum browser 1.8*L nn OK
New merger stats OK
7:40
Noticed operator mistake at 3 am. Ring pressure was very bad in YR08. Unlikely we had much beam since then. Moved nozzle to improve pressure.
See attach 38 & 39.
08:51
Checks all ok. Temperatures and leakage current ok. Merger ok.
Attatchment 40: Rates
Attatchment 41: Temperatures
Attatchment 42: Waveforms layout 7
Attatchment 43: Waveforms layout 8
Attahcment 44: Waveforms layout 1
Attatchment 45: Waveforms layout 2
Attatchment 46: Leakage current
Attatchment 47: Gasjet board - not much change from last check
Attatchment 48: Vacuum pressures
|
Attachment 1: Screenshot_from_2024-02-13_18-33-30.png
|
|
Attachment 2: Screenshot_from_2024-02-13_18-33-52.png
|
|
Attachment 3: Screenshot_from_2024-02-13_18-34-33.png
|
|
Attachment 4: Screenshot_from_2024-02-13_18-34-53.png
|
|
Attachment 5: Screenshot_from_2024-02-13_18-35-12.png
|
|
Attachment 6: Screenshot_from_2024-02-13_18-37-04.png
|
|
Attachment 7: Screenshot_from_2024-02-13_18-37-52.png
|
|
Attachment 8: Screenshot_from_2024-02-13_18-48-19.png
|
|
Attachment 9: Screenshot_from_2024-02-13_22-01-02.png
|
|
Attachment 10: Screenshot_from_2024-02-13_22-01-31.png
|
|
Attachment 11: Screenshot_from_2024-02-13_22-03-33.png
|
|
Attachment 12: Screenshot_from_2024-02-13_22-04-11.png
|
|
Attachment 13: Screenshot_from_2024-02-13_22-05-01.png
|
|
Attachment 14: Screenshot_from_2024-02-13_22-05-40.png
|
|
Attachment 15: Screenshot_from_2024-02-13_22-06-45.png
|
|
Attachment 16: Screenshot_from_2024-02-14_00-04-10.png
|
|
Attachment 17: Screenshot_from_2024-02-14_00-04-46.png
|
|
Attachment 18: Screenshot_from_2024-02-14_00-05-20.png
|
|
Attachment 19: Screenshot_from_2024-02-14_00-06-03.png
|
|
Attachment 20: Screenshot_from_2024-02-14_00-06-23.png
|
|
Attachment 21: Screenshot_from_2024-02-14_00-06-39.png
|
|
Attachment 22: Screenshot_from_2024-02-14_00-07-30.png
|
|
Attachment 23: Screenshot_from_2024-02-14_02-30-22.png
|
|
Attachment 24: Screenshot_from_2024-02-14_02-44-18.png
|
|
Attachment 25: Screenshot_from_2024-02-14_02-46-22.png
|
|
Attachment 26: 20240214_023337.jpg
|
|
Attachment 27: 20240214_023311.jpg
|
|
Attachment 28: Screenshot_from_2024-02-14_04-58-12.png
|
|
Attachment 29: Screenshot_from_2024-02-14_04-59-33.png
|
|
Attachment 30: Screenshot_from_2024-02-14_05-00-33.png
|
|
Attachment 31: Screenshot_from_2024-02-14_05-01-23.png
|
|
Attachment 32: Screenshot_from_2024-02-14_05-03-25.png
|
|
Attachment 33: Screenshot_from_2024-02-14_07-13-36.png
|
|
Attachment 34: Screenshot_from_2024-02-14_07-14-32.png
|
|
Attachment 35: Screenshot_from_2024-02-14_07-15-29.png
|
|
Attachment 36: Screenshot_from_2024-02-14_07-16-11.png
|
|
Attachment 37: Screenshot_from_2024-02-14_07-16-30.png
|
|
Attachment 38: Pressures.png
|
|
Attachment 39: GasTarget.png
|
|
Attachment 40: Screenshot_from_2024-02-14_08-52-02.png
|
|
Attachment 41: Screenshot_from_2024-02-14_08-52-15.png
|
|
Attachment 42: Screenshot_from_2024-02-14_08-54-01.png
|
|
Attachment 43: Screenshot_from_2024-02-14_08-54-22.png
|
|
Attachment 44: Screenshot_from_2024-02-14_08-55-45.png
|
|
Attachment 45: Screenshot_from_2024-02-14_08-56-15.png
|
|
Attachment 46: Screenshot_from_2024-02-14_08-57-29.png
|
|
Attachment 47: IMG_7850.HEIC
|
Attachment 48: IMG_7851.HEIC
|
509
|
Tue Feb 13 08:34:44 2024 |
Hw, JM, AR, CB, NP, AB, RSS | Status of DAQ - beam overlap |
Check the status of the DAQ system, with Tom. All correct. See attachments:
1 Leackage currents
2 ADC data item stats
3 White rabbit time stamps
Note: At 9:36 we thing they are working on cooling the beam, there is no target and the detectors are fully out.
9:16 cooled beam, carlo is starting new run r21
Target dencity = 1.47E11 atom/cm2 (10:30 am)
Horizon Y09 from 9 mm to 8 mm, checking different beam position (10:55 am)
Right arm is fully in (11:02 am)
By moving in the detector we are reducing the intencity (11:04 am)
Right numatic out, right servo in, potentiiometer at 38.38 mm (11:05 am)
Losing every second shot, tuning the numatic to get better parameters, beam tuning (11:13 am)
Leaving the detectors out while going for lunch, still beam (11:42 am)
12.23 Both detectors 37mm in ( DSSSD wafer edge c. 5cm from beam axis )
System Wide Checks Update - Run 21
Temperatures ok, leakage current ok
AIDA16 said that there was an FPGA timestamp error, collected again and now all passed - timestamps on merger statistics page all good when checked
Attatchment 4: Temperatures
Attatchment 5: Waveforms layout 7
Attatchment 6: Waveforms layout 8
Attatchment 7: Wavforms layout 2
Attatchment 8: Waveforms layout 1
Attatchment 9: Leakage current ok
16:11 Both detectors 37mm in ( DSSSD wafer edge c. 5cm from beam axis ), target off, beam being tuned, what ever, still run 21
System Wide Checks Update - Run 21
Temperatures ok, leakage current ok
18:00 Run 21 contd. We have spent the afternoon trying to confirm whether we observe an overlap between the target and the beam. We varied the horizontal beam position and used the top left detector around 19-20 MeV region as a gauge for the count rate.
This should be reasonably safe as the beam halo in this detector is primarily at low energies. We took the count rate over 10 shots into the ring as our gauge. Attached is a plot of the results of varying the beam position. Target density and gas pressure was apporximately constant throughout.
We estimate -7 mm is the correct horiziontal beam position. We also had a call off Zoran while at this setting as he observed a decrease in the beam lifetime which was observed in HKR. See attached plot of the scan (attachment 10)
|
Attachment 1: Screenshot_from_2024-02-13_09-21-05.png
|
|
Attachment 2: Screenshot_from_2024-02-13_09-33-31.png
|
|
Attachment 3: Screenshot_from_2024-02-13_09-33-47.png
|
|
Attachment 4: Screenshot_from_2024-02-13_13-04-54.png
|
|
Attachment 5: Screenshot_from_2024-02-13_13-05-40.png
|
|
Attachment 6: Screenshot_from_2024-02-13_13-06-04.png
|
|
Attachment 7: Screenshot_from_2024-02-13_13-06-33.png
|
|
Attachment 8: Screenshot_from_2024-02-13_13-06-53.png
|
|
Attachment 9: Screenshot_from_2024-02-13_13-11-18.png
|
|
Attachment 10: Target-overlap-scan.pdf
|
|
508
|
Mon Feb 12 01:40:48 2024 |
TD, JM, CB, AR, RSS | Run17 - Run20 |
02.35 DAQ continues file G22-88/R17_30
02.38 Check ASIC load
aida01 asics # 1 and #4 & aida12 asic #2 HEC events removed
09.05 DAQ continues file G22-88/R17_53
DSSSD bias & leakage current OK - attachments 10-11
FEE64 temperatures OK - attachment 12
ADC data item stats OK - attachment 13
hot channels aida01 ( 67k ) and aida15 ( 7k )
WR timestamps OK - attachment 14
per FEE64 Rate and Stat spectra - attachments 15-16
Merger, Merger stats and TapeServer - attachments 17-19
09.19 New run G22-88/R18
all histograms & stats zero'd
CB starting up 4He target preparatory to returning 16O2+ beam to CRYRING
L & R arms all out
09.26 4He target c. 2e11c.2
16O2+ beam c. 3e5/s
09.58 4He target c. 3e11/cm2
16O2+ beam c. 5e5/s at start of cycle
See attachments 20-22
10.40 New run G22-88/R19
all histograms & stats zero'd
CB will attempt to steer the beam
all steering options set to 0mm except YR09 target offset (vertical = -9mm) and (horizontal = 9mm)
10.52 R arm fully in, L arm fully out
beam steering hard right
14:24 Frank has informed us that the CRYRING team will be examining the electron cooler for th enext few hours. Run 19 stopped, Run 20 started.
Detectors moved fully out, target OFF.
All histograms and stats zero'd
Access to the cave is required, beam OFF
15:45 Channel 1 back to 150V.
17.40 DAQ continues file G22-88/R20_13
DSSSD bias & leakage current OK - attachments 23-24
FEE64 temperatures OK - attachment 25
All system wide checks OK except aida08 FPGA error - attachment 26
WR timestamps OK - attachment 27
ADC data item stats OK - attachment 28
hot channels aida01 ( 80k ) and aida15 ( 7k )
per FEE64 Rate and Stat spectra - attachments 29-30
Merger, Merger stats and TapeServer - attachments 31-32
23.12 DAQ continues file G22-88/R20_20
DSSSD bias & leakage current OK - attachments 33-34
FEE64 temperatures OK
All system wide checks OK except aida08 FPGA error - attachment 35
WR timestamps OK - attachment 36
ADC data item stats OK - attachment 37
hot channels aida01 ( 80k ) and aida15 ( 7k )
per FEE64 Rate and Stat spectra - attachments 38
Merger, Merger stats and TapeServer - attachments 39-40
03.47 DAQ continues file G22-88/R20_28
DSSSD bias & leakage current OK - attachments 41-42
FEE64 temperatures OK - attachment 43
ADC data item stats - attachment 44
aida12 rate - HEC events
per FEE64 Rate spectra - attachment 45
Check ASIC load
ADC data item stats - attachment 46
per FEE64 Rate spectra - attachment 47
Merger, Merger stats and TapeServer - attachments 48-49
|
Attachment 1: Screenshot_from_2024-02-12_02-34-50.png
|
|
Attachment 2: Screenshot_from_2024-02-12_02-35-12.png
|
|
Attachment 3: Screenshot_from_2024-02-12_02-35-44.png
|
|
Attachment 4: Screenshot_from_2024-02-12_02-36-21.png
|
|
Attachment 5: Screenshot_from_2024-02-12_02-37-20.png
|
|
Attachment 6: Screenshot_from_2024-02-12_02-38-35.png
|
|
Attachment 7: Screenshot_from_2024-02-12_02-39-32.png
|
|
Attachment 8: Screenshot_from_2024-02-12_02-39-52.png
|
|
Attachment 9: Screenshot_from_2024-02-12_02-40-05.png
|
|
Attachment 10: Screenshot_from_2024-02-12_09-05-53.png
|
|
Attachment 11: Screenshot_from_2024-02-12_09-06-03.png
|
|
Attachment 12: Screenshot_from_2024-02-12_09-06-42.png
|
|
Attachment 13: Screenshot_from_2024-02-12_09-07-02.png
|
|
Attachment 14: Screenshot_from_2024-02-12_09-07-31.png
|
|
Attachment 15: Screenshot_from_2024-02-12_09-07-42.png
|
|
Attachment 16: Screenshot_from_2024-02-12_09-08-23.png
|
|
Attachment 17: Screenshot_from_2024-02-12_09-08-48.png
|
|
Attachment 18: Screenshot_from_2024-02-12_09-08-54.png
|
|
Attachment 19: Screenshot_from_2024-02-12_09-09-03.png
|
|
Attachment 20: 20240212_095432.jpg
|
|
Attachment 21: 20240212_095444.jpg
|
|
Attachment 22: 20240212_095449.jpg
|
|
Attachment 23: Screenshot_from_2024-02-12_17-40-16.png
|
|
Attachment 24: Screenshot_from_2024-02-12_17-40-25.png
|
|
Attachment 25: Screenshot_from_2024-02-12_17-40-43.png
|
|
Attachment 26: Screenshot_from_2024-02-12_17-41-01.png
|
|
Attachment 27: Screenshot_from_2024-02-12_17-41-25.png
|
|
Attachment 28: Screenshot_from_2024-02-12_17-41-39.png
|
|
Attachment 29: Screenshot_from_2024-02-12_17-42-14.png
|
|
Attachment 30: Screenshot_from_2024-02-12_17-42-43.png
|
|
Attachment 31: Screenshot_from_2024-02-12_17-43-00.png
|
|
Attachment 32: Screenshot_from_2024-02-12_17-43-12.png
|
|
Attachment 33: Screenshot_from_2024-02-12_23-12-12.png
|
|
Attachment 34: Screenshot_from_2024-02-12_23-12-35.png
|
|
Attachment 35: Screenshot_from_2024-02-12_23-12-58.png
|
|
Attachment 36: Screenshot_from_2024-02-12_23-13-38.png
|
|
Attachment 37: Screenshot_from_2024-02-12_23-13-57.png
|
|
Attachment 38: Screenshot_from_2024-02-12_23-15-02.png
|
|
Attachment 39: Screenshot_from_2024-02-12_23-15-21.png
|
|
Attachment 40: Screenshot_from_2024-02-12_23-15-39.png
|
|
Attachment 41: Screenshot_from_2024-02-13_03-47-40.png
|
|
Attachment 42: Screenshot_from_2024-02-13_03-48-14.png
|
|
Attachment 43: Screenshot_from_2024-02-13_03-50-53.png
|
|
Attachment 44: Screenshot_from_2024-02-13_03-51-24.png
|
|
Attachment 45: Screenshot_from_2024-02-13_03-51-50.png
|
|
Attachment 46: Screenshot_from_2024-02-13_03-53-21.png
|
|
Attachment 47: Screenshot_from_2024-02-13_03-53-41.png
|
|
Attachment 48: Screenshot_from_2024-02-13_03-54-00.png
|
|
Attachment 49: Screenshot_from_2024-02-13_03-54-15.png
|
|
507
|
Sun Feb 11 13:28:46 2024 |
JM, CB, TD | Run16 - beam on target |
13:37 Run started - no beam in the ring (One of the ring magnets was still on RED when it should have been set to green by HKR)
14:28 Beam ON - still observe beam halo on DSSD0 (Top left)
YR09 Vertical and horizontal offsets set to -9.0 mm
14:36 Target ON, Beam ON
We can see the beam halo on the detectors again in the same position as previous tests. The energy histograms for the detectors show only low energy events, except bottom left detector where we see a peak around 20 MeV. With the target on we see additional events but we only see events at ~20MeV for the bottom detector still in the bottom left detector. We are moving the detectors IN to try and see events from scattering of the beam on the target. We may not be hitting the target with the beam.
15.29 We observe data in DSSD # 0, 2, 3 (top left, bottom left, bottom right) which is consistent with a line beam halo on the detector. The top right detector is positioned higher by ~10 mm than the top left detector so it is not unphysical to not see any events in this detector from the halo.
The energy of events in each detector is not consistent however. In DSSD #0 and 3 we see mainly low energy events (some small number of 20 MeV events is seen) but in DSSD #2 we see mainly higher energy (20 MeV) events. See attached energy histograms, xy and Ex vs x plots (attachments 1-3).
This is consistent with the data from channels seen in MIDAS for aida04 (DSSD #0) and aida12 (DSSD#2).
Switched FEE64 range bit frpom 20MeV FSR to 1GeV FSR to see if any events are over the 20 MeV range of the low energy channel . Slow comparator from 0x64 to 0x5. We see no events just above 20 MeV in aida04 but do in aida12. See attachments 4 & 5
No data ( > 20MeV nominal ) out of range in DSSSD #1
FEE range set back to FSR to 20 MeV and slow comparator set back to 0x64
15:57 Beam on target. Left arm fully out (pot 18.8 mm), right arm fully in (pot 83.69 mm). Beam injection ~1e6, target density 2e11. Cycle time between 4-13 s.
Leaving for more stats
17.30 Routine system checks
DAQ continues file G22-88/R16_14
DSSSD bias and leakage current OK - attachments 8 & 28
DSSSD #2 bias -100V, all other DSSSDs -150V
ambient temperature +17.0 deg C
FEE64 temperatures OK - attachment 9
All system wide checks OK
WR timestamp OK - attachment 10
aida01 & aida03 ASIC settings - attachments 11-12
AIDA ADC, PAUSE, DISC, correlation - attachments 13-16
ADC data item rates OK
per FEE64 Rate spectra - attachments 17-18
note change in rates for aida12 & aida13 - presumably due to beam cycle?
per FEE64 1.8.L, 1.8.H and 1.8.W 20us FSR spectra - attachments 19-24
Merger, Merger stats and TapeServer - attachments 25-27
18.10 ML CRYRING vacuum - attachment 29
FEE spectrum browser statistics attachment 30 - not many missing channels - aida04 asic 2 perhaps?
18:40 End of run. Target x position moved out by 3000. Faraday cup to the ring closed. Detectors moved fully OUT.
Run 17 started - alpha background
|
Attachment 1: Screenshot_from_2024-02-11_15-32-52.png
|
|
Attachment 2: Screenshot_from_2024-02-11_15-33-06.png
|
|
Attachment 3: Screenshot_from_2024-02-11_15-33-35.png
|
|
Attachment 4: Screenshot_from_2024-02-11_15-24-58.png
|
|
Attachment 5: Screenshot_from_2024-02-11_15-27-54.png
|
|
Attachment 6: 20230524_103830.jpg
|
|
Attachment 7: Screenshot_from_2024-02-11_15-57-48.png
|
|
Attachment 8: Screenshot_from_2024-02-11_17-29-16.png
|
|
Attachment 9: Screenshot_from_2024-02-11_17-29-35.png
|
|
Attachment 10: Screenshot_from_2024-02-11_17-30-08.png
|
|
Attachment 11: Screenshot_from_2024-02-11_17-30-18.png
|
|
Attachment 12: Screenshot_from_2024-02-11_17-30-24.png
|
|
Attachment 13: Screenshot_from_2024-02-11_17-30-54.png
|
|
Attachment 14: Screenshot_from_2024-02-11_17-36-57.png
|
|
Attachment 15: Screenshot_from_2024-02-11_17-37-25.png
|
|
Attachment 16: Screenshot_from_2024-02-11_17-37-52.png
|
|
Attachment 17: Screenshot_from_2024-02-11_17-31-54.png
|
|
Attachment 18: Screenshot_from_2024-02-11_17-32-01.png
|
|
Attachment 19: Screenshot_from_2024-02-11_17-33-15.png
|
|
Attachment 20: Screenshot_from_2024-02-11_17-33-51.png
|
|
Attachment 21: Screenshot_from_2024-02-11_17-34-25.png
|
|
Attachment 22: Screenshot_from_2024-02-11_17-35-03.png
|
|
Attachment 23: Screenshot_from_2024-02-11_17-35-56.png
|
|
Attachment 24: Screenshot_from_2024-02-11_17-36-35.png
|
|
Attachment 25: Screenshot_from_2024-02-11_17-38-32.png
|
|
Attachment 26: Screenshot_from_2024-02-11_17-38-49.png
|
|
Attachment 27: Screenshot_from_2024-02-11_17-38-58.png
|
|
Attachment 28: Screenshot_from_2024-02-11_17-41-37.png
|
|
Attachment 29: Capture.PNG
|
|
Attachment 30: Screenshot_from_2024-02-11_18-27-56.png
|
|
506
|
Sun Feb 11 07:03:34 2024 |
TD | Sunday 11 February |
02.02 DAQ continues G22-86/R15_21
alpha background
DSSSD leakage current = attachment 1
DSSSD #2 transient c. few hundred nA continue
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
rates dominated by 'hot' channels aida01 ( 70k ) and aida15 ( 11k ) - all other FEE64s c. 0Hz
per FEE64 Rate and Stat spectra - attachments 4-5
02.07 All stats zero'd
05.52 DAQ continues G22-86/R15_28
alpha background
FEE64 temperatures OK - attachment 6
ADC data item stats - attachment 7
rates dominated by 'hot' channels aida01 ( 72k ), aida15 ( 11k ), aida12 ( 3k ) and aida05 ( 600 )
all other FEE64s c. 0Hz
per FEE64 Stat spectra - attachment 8
aida12 asic #2 HEC channels high rate
Merger and TapeServer - attachments 9-10
DSSSD leakage current = attachment 11
DSSSD #2 transient c. few hundred nA continue
online per DSSSD 2D x-y spectra - attachnent 12
05.56 All histograms & stats zero'd
2x Check ASIC load
ADC data item stats - attachment 7
rates dominated by 'hot' channels aida01 ( 72k ), aida15 ( 11k )
all other FEE64s c. 0Hz
10:28 DAQ continues G22-88/R15
FEE temperatures OK
ADC data items stats dominated by aida01 (86k) and aida15 (9k) rest of stats approx OHz.
Rates dominated by 2 hot channels per FEE
Leakage current plot (attachment 15)
System wide checks OK
Merger OK (500,000 items per sec), Tape server OK (710 kb/sec being written)
DSSD XY plot (attachment 16) - some noise coming through in certain strips on DSSD 3
DSSD Ey energy histograms (attachment 17)
DSSD Ey vs Ex plots (attachment 18) - statistics for DSSD0,1,3 are consistent (DSSD 2 stats appear higher - unknown reason as yet)
11:10 Leakage current for DSSD1 (I2 on leakage current plot) remained 'turbulent'. We dropped the voltage from 150V to 100V. Leakage current dropped from ~4.5uA to ~4.2 uA. We will see if it remains more stable.
Rates in DSSD1 increased during change in voltage so all histograms were zero'd and monitoring code reset. Transient also observed for I3 in the leake current plot (attachment 19)
12:10 No progress on the target so far ...
Noticed in aida03 and aida04 several missing channels in the stats spectrum browser (attachment 20)
ASIC check load several times on aida03 and aida04. All stats and histograms zero'd
13.37 Target now available - will attempt beam + target
New data file G22-88/R16
13.39 per FEE64 Stat spectra
13.43 All histograms and stats zero'd
multiple channels missing aida03 and aida04 ( and possibly other FEE64s too ) |
Attachment 1: Screenshot_from_2024-02-11_02-02-18.png
|
|
Attachment 2: Screenshot_from_2024-02-11_02-03-32.png
|
|
Attachment 3: Screenshot_from_2024-02-11_02-04-09.png
|
|
Attachment 4: Screenshot_from_2024-02-11_02-04-43.png
|
|
Attachment 5: Screenshot_from_2024-02-11_02-07-08.png
|
|
Attachment 6: Screenshot_from_2024-02-11_05-52-02.png
|
|
Attachment 7: Screenshot_from_2024-02-11_05-52-21.png
|
|
Attachment 8: Screenshot_from_2024-02-11_05-52-59.png
|
|
Attachment 9: Screenshot_from_2024-02-11_05-53-41.png
|
|
Attachment 10: Screenshot_from_2024-02-11_05-54-04.png
|
|
Attachment 11: Screenshot_from_2024-02-11_05-54-29.png
|
|
Attachment 12: Screenshot_from_2024-02-11_05-54-53.png
|
|
Attachment 13: Screenshot_from_2024-02-11_05-57-44.png
|
|
Attachment 14: Screenshot_from_2024-02-11_10-33-04.png
|
|
Attachment 15: Screenshot_from_2024-02-11_10-59-13.png
|
|
Attachment 16: Screenshot_from_2024-02-11_11-01-26.png
|
|
Attachment 17: Screenshot_from_2024-02-11_11-03-29.png
|
|
Attachment 18: Screenshot_from_2024-02-11_11-16-26.png
|
|
Attachment 19: Screenshot_from_2024-02-11_12-08-20.png
|
|
Attachment 20: Screenshot_from_2024-02-11_13-39-20.png
|
|
505
|
Sat Feb 10 17:05:13 2024 |
TD | Run 15 - background |
17.55 DAQ continues G22-86/R15_11
alpha background
DSSSD bias OK - attachment 1
ambient temperature 17.0 deg C
FEE64 temperatures OK - attachment 2
WR timestamps OK - attachment 3
ADC data item stats - attachment 4
rates dominated by 'hot' channels aida01 ( 85k ) and aida15 ( 11k ) - all other FEE64s c. 0Hz
stats zero'd 17.57
per FEE64 Rate & Stat spectra - attachments 5-6
Stat spectra not zero'd recently - evidence of scattering ( rates varies with strip # )?
aida01 ( p+n ) and aida03 ( n+n ) ASIC settings - attachments 7-8
slow comparator 0x64
Merger and Merger stats OK - attachments 9-10
DSSSD leakage current = attachment 11
shows data for 28-30.1.24 ?
online sort per DSSSD x-y hit patterns - attachment 12
ML vacuum pressure & cave temperature - attachments 13-14
23.01 DAQ continues G22-86/R15_17
alpha background
DSSSD bias OK - attachment 15
ambient temperature 17.0 deg C
FEE64 temperatures OK - attachment 16
ADC data item stats - attachment 17
rates dominated by 'hot' channels aida01 ( 72k ) and aida15 ( 11k ) - all other FEE64s c. 0Hz
TapeServer - attachment 18
DSSSD leakage current = attachment 19
DSSSD #2 transient c. few hundred nA
online sort per DSSSD x-y hit patterns - attachment 20 |
Attachment 1: Screenshot_from_2024-02-10_17-54-47.png
|
|
Attachment 2: Screenshot_from_2024-02-10_17-55-42.png
|
|
Attachment 3: Screenshot_from_2024-02-10_17-56-11.png
|
|
Attachment 4: Screenshot_from_2024-02-10_17-56-47.png
|
|
Attachment 5: Screenshot_from_2024-02-10_17-57-29.png
|
|
Attachment 6: Screenshot_from_2024-02-10_17-58-40.png
|
|
Attachment 7: Screenshot_from_2024-02-10_17-59-30.png
|
|
Attachment 8: Screenshot_from_2024-02-10_17-59-50.png
|
|
Attachment 9: Screenshot_from_2024-02-10_18-00-09.png
|
|
Attachment 10: Screenshot_from_2024-02-10_18-00-44.png
|
|
Attachment 11: Screenshot_from_2024-02-10_18-03-01.png
|
|
Attachment 12: Screenshot_from_2024-02-10_18-03-19.png
|
|
Attachment 13: tep.PNG
|
|
Attachment 14: vac.PNG
|
|
Attachment 15: Screenshot_from_2024-02-10_23-01-27.png
|
|
Attachment 16: Screenshot_from_2024-02-10_23-00-50.png
|
|
Attachment 17: Screenshot_from_2024-02-10_23-01-08.png
|
|
Attachment 18: Screenshot_from_2024-02-10_23-02-34.png
|
|
Attachment 19: Screenshot_from_2024-02-10_23-02-53.png
|
|
Attachment 20: Screenshot_from_2024-02-10_23-03-09.png
|
|
504
|
Sat Feb 10 10:00:44 2024 |
AR RSS JM CB | Run 13, 14 |
System checks: all ok
Attatchment 1: Rates ok
Attatchment 2: Temperatures ok
Attatchment 3: Waveform layout 7
Attatchment 4: Waveform layout 8
Attatchment 5: Waveform layout 1
Attatchment 6: Waveform layout 2
Attatchment 7: Leakage current ok
Moved pneumatic / servo for right / left arms in /out
Attachment 8: left servo fully in
9: left pneumatic in (beam is clipped)
10: left pneumatic & servo in (beam is clipped)
11: right servo in
12: right pneumatic in
13: right pneumatic & serve in
Some clear issues with strip mapping persist.
Strip map
#map strip: 01:00 left/top side, 02:00 right/bottom side (from beam view)
stripMap 1 2
stripMap 2 1
stripMap 3 2
stripMap 4 1
stripMap 5 2
stripMap 6 1
stripMap 7 2
stripMap 8 1
stripMap 9 1
stripMap 10 2
stripMap 11 1
stripMap 12 2
stripMap 13 1
stripMap 14 2
stripMap 15 1
stripMap 16 2
/////// UPDATE /////////
System checks: all ok - except waveform for AIDA 15 in layout 2, it has a low rate compared to others
Attatchment 14: Rates ok
Attatchment 15: Temperatures ok
Attatchment 16: Waveform layout 7
Attatchment 17: Waveform layout 8
Attatchment 18: Waveform layout 2
Attatchment 19: Waveform layout 1
Attatchment 20: Leakage current ok
/////// UPDATE //////
After beam stiring - layout of xy histograms are updated
DSSD0 and DSSD3 have the correct orientation,
DSSD1 needs to be flipped mirrored on the yaxis (i.e. right needs to be left, and left needs to be right but up and down are correct)
DSSD2 needs to be flipped on the xaxis (i.e. up needs to be down and down needs to be up but left and right are correct)
Horizontal offset in YR3 target = 9mm
Horizontal offset in YR7 target = 9mm
Horizontan offset in YR9 target = -9mm
Vertial offset in YR9 target = -8mm
Attatchment 21: Beam profile before stiring
Attatchment 22: Beam profile after stiritng - much better, hitting detectors less.
/// UPDATE ///
Mapping for the sort code has been fixed so that detectors are orientated correctly as if looking at the detectors downstream.We was missing dssdPNisX command which lets the sort code know if the PN FEEs correspond to X or Y. Correct mapping is now;
stripMap 1 1
stripMap 2 2
stripMap 3 1
stripMap 4 2
stripMap 5 1
stripMap 6 2
stripMap 7 2
stripMap 8 1
stripMap 9 2
stripMap 10 1
stripMap 11 1
stripMap 12 2
stripMap 13 1
stripMap 14 2
stripMap 15 1
stripMap 16 2
|
Attachment 1: Screenshot_from_2024-02-10_11-01-27.png
|
|
Attachment 2: Screenshot_from_2024-02-10_11-01-42.png
|
|
Attachment 3: Screenshot_from_2024-02-10_11-02-37.png
|
|
Attachment 4: Screenshot_from_2024-02-10_11-02-58.png
|
|
Attachment 5: Screenshot_from_2024-02-10_11-03-25.png
|
|
Attachment 6: Screenshot_from_2024-02-10_11-03-47.png
|
|
Attachment 7: Screenshot_from_2024-02-10_11-05-40.png
|
|
Attachment 8: l_s_in.png
|
|
Attachment 9: l_p_in.png
|
|
Attachment 10: l_p&s_in.png
|
|
Attachment 11: r_s_in.png
|
|
Attachment 12: r_p_in.png
|
|
Attachment 13: r_s&p_in.png
|
|
Attachment 14: Screenshot_from_2024-02-10_13-41-54.png
|
|
Attachment 15: Screenshot_from_2024-02-10_13-42-11.png
|
|
Attachment 16: Screenshot_from_2024-02-10_13-43-10.png
|
|
Attachment 17: Screenshot_from_2024-02-10_13-47-04.png
|
|
Attachment 18: Screenshot_from_2024-02-10_13-48-38.png
|
|
Attachment 19: Screenshot_from_2024-02-10_13-49-39.png
|
|
Attachment 20: Screenshot_from_2024-02-10_13-50-13.png
|
|
Attachment 21: Screenshot_from_2024-02-10_14-12-54.png
|
|
Attachment 22: Screenshot_from_2024-02-10_14-56-15.png
|
|
Attachment 23: Screenshot_from_2024-02-10_16-15-27.png
|
|
503
|
Fri Feb 9 17:04:39 2024 |
JM, AR, CB, NH | Run12 - Background |
|
502
|
Fri Feb 9 11:58:04 2024 |
TD + CB, JM, AR, NH, AB | Run10 contd, Run11 |
12.46 DAQ continues G22-88/R10_14
c. 20MeV 16O2+ + 4He
DSSSD bias OK - attachment 1
ambient temperature 17.7 deg C ( c. 1deg C increase cf. earlier this week )
FEE64 temperatures OK - attachment 2
All system wide checks OK
WR timestamp control OK - attachment 3
ADC data item stats - attachment 4
FEE64 rates c. 0Hz except 'hot' channels aida01 ( 71k ) and aida15 ( 8k )
per FEE64 Rate and Stat spectra - attachments 5-8
events ( beam? ) aida01 asic #4 and aida04 asic #4 ( c. 200k per ASIC channel )
per FEE64 1.8.L spectra - attachments 9-10
most spectra showing background and, possibly, a small amount of scattered beam?
per FEE64 1.8.W spectra - 20us FSR - attachments 11-12
aida04 3*L and 4*L spectra - attachments 13-15
distribution by strip # - uniform across asic# #4, continuing across asic #3 with abrupt fall off c. channel 9
not Rutherford - more consistent halo/beam scattering?
what are the current lab angles subtended by the DSSSDs?
Rutherford cross section for 20MeV 16O+4He
Reaction: 4He(16O,16O)4He
A: 4 16 16 4
Z: 2 8 8 2
Mass(amu): 4.002603 15.994915 15.994915 4.002603
Error(keV): 0.001 0.002 0.002 0.001
Origin:
Status:
Projectile lab energy: 20.000 MeV
CM energy: 4.003 MeV
Particle 3 Particle 4
( scattered ) ( recoil )
Lab Cross Section CM Lab/CM Lab Lab Lab
Angle Lab CM Angle Ratio Energy Angle Energy
(deg) (mb/sr) (deg) (MeV) (deg) (MeV)
0.00 NaN Inf 0.000 NaN 20.000 90.000 0.000
1.00 0.143028E+09 0.572301E+07 4.999 0.040 19.976 87.500 0.024
2.00 0.894079E+07 356425. 10.017 0.040 19.902 84.992 0.098
3.00 0.176669E+07 69982.6 15.072 0.040 19.780 82.464 0.220
4.00 559329. 21951.4 20.186 0.039 19.607 79.907 0.393
5.00 229335. 8887.05 25.382 0.039 19.382 77.309 0.618
6.00 110782. 4221.76 30.690 0.038 19.103 74.655 0.897
7.00 59959.1 2235.75 36.144 0.037 18.768 71.928 1.232
8.00 35299.6 1279.52 41.790 0.036 18.371 69.105 1.629
9.00 22192.2 775.052 47.692 0.035 17.907 66.154 2.093
10.00 14729.2 489.347 53.941 0.033 17.366 63.029 2.634
11.00 10261.1 317.919 60.685 0.031 16.732 59.658 3.268
12.00 7514.20 209.725 68.185 0.028 15.976 55.907 4.024
13.00 5900.47 137.756 77.018 0.023 15.035 51.491 4.965
14.00 5693.43 85.2271 89.184 0.015 13.688 45.408 6.312
Maximum lab scattering angle ( 14.49 deg ) exceeded
________________________________________________________________________________
aida01 4*L spectra - attachments 19-23
channels 0-8 - high stats structure(s) at low energy
channels 9-16 - high energy peak cf. aida04 asic #4 spectra
Merger, Merger stats, TapeServer - attachments 16-18
Started Run 11 with beam ON.
We observe the same pattern of counts in DSSD0 (Top left detector) but not in DSSD1,2,3 (attachment 25). Moving in the left arm with the pneumatic we see a large drop in
the rate on DSSD0 to essentially zero. The beam on the CRYRING monitor appears to disappear.
When we move the right pneumatic IN, we observe an increase in the number of counts in the bottom-left part of the detector. The position is likely to be a mistake in the
strip assignment.
No increase observed in the leakage current. Will try systematically tomorrow.
Beam position monitoring from the CRYRING are shown in attachments 25-27 |
Attachment 1: Screenshot_from_2024-02-09_12-45-57.png
|
|
Attachment 2: Screenshot_from_2024-02-09_12-46-32.png
|
|
Attachment 3: Screenshot_from_2024-02-09_12-46-59.png
|
|
Attachment 4: Screenshot_from_2024-02-09_12-47-37.png
|
|
Attachment 5: Screenshot_from_2024-02-09_12-47-59.png
|
|
Attachment 6: Screenshot_from_2024-02-09_12-48-59.png
|
|
Attachment 7: Screenshot_from_2024-02-09_12-49-17.png
|
|
Attachment 8: Screenshot_from_2024-02-09_12-49-39.png
|
|
Attachment 9: Screenshot_from_2024-02-09_12-50-18.png
|
|
Attachment 10: Screenshot_from_2024-02-09_12-51-04.png
|
|
Attachment 11: Screenshot_from_2024-02-09_12-51-48.png
|
|
Attachment 12: Screenshot_from_2024-02-09_12-52-25.png
|
|
Attachment 13: Screenshot_from_2024-02-09_12-54-05.png
|
|
Attachment 14: Screenshot_from_2024-02-09_12-56-04.png
|
|
Attachment 15: Screenshot_from_2024-02-09_12-56-20.png
|
|
Attachment 16: Screenshot_from_2024-02-09_12-56-52.png
|
|
Attachment 17: Screenshot_from_2024-02-09_12-57-06.png
|
|
Attachment 18: Screenshot_from_2024-02-09_12-57-36.png
|
|
Attachment 19: Screenshot_from_2024-02-09_13-03-20.png
|
|
Attachment 20: Screenshot_from_2024-02-09_13-03-38.png
|
|
Attachment 21: Screenshot_from_2024-02-09_13-03-54.png
|
|
Attachment 22: Screenshot_from_2024-02-09_13-04-22.png
|
|
Attachment 23: Screenshot_from_2024-02-09_13-04-59.png
|
|
Attachment 24: Screenshot_from_2024-02-10_10-07-57.png
|
|
Attachment 25: 20240209_174604.jpg
|
|
Attachment 26: 20240209_174705.jpg
|
|
Attachment 27: 20240209_174718.jpg
|
|
501
|
Fri Feb 9 07:57:38 2024 |
AR, CB, AB, JM | Run10 - First cool beam on target |
8:58
System wide checks okay, except
Base Current Difference
aida08 fault 0x0 : 0xc : 12
aida09 fault 0x0 : 0xb : 11
FPGA Timestamp error counter test result: Passed 14, Failed 2
If any of these counts are reported as in error
The ASIC readout system has detected a timeslip.
That is the timestamp read from the time FIFO is not younger than the last
Temperatures okay
Attatchment 1: Layout 7 Waveforms
Attatchment 2: Temperatures
Attatchment 3: Layout 8 Waveforms
Attatchment 4: Layout 2 counter okay
Attatchment 5: Layout 4 spectrum okay
Attatchment 6: Leakage current okay
Switched to run 10 (run 9 is overnight background)
10:00 Target pressure on. Attachment 7
Beam on target
Observe low counts. Only aida4 showing anything (attachment 8)
See a peak around 19 MeV in LowEnergyExratedssd0 (can only see in the dssd0) that is assumed to be Rutherford scattering - Attachment 12
Temperatures ok
Wavefroms on attatchment 9 show only signals from aida04 - attachment 9
/////// UPDATE ////////
Peak observed is not from Rutherford, we think it is beam (or part of it) hitting the detector
Attachment 10 shows waveforms after the beam was turned off -> counts in aida 4 are gone.
Beam off = no peak at 19 MeV for LowEnergyExdssd0.
Leakage current ok (attachment 11)
//// BEAM ON AGAIN UPDATE ////
Still see peak at 20 MeV, see attachment 13
Peak is not in dssds 1,2,3 only in dssd 0 (Top left detector) (attatchments 14,15,16 respectively)
Leakage currents OK (attatchment 17)
Attachment 18: LowEnergyXYtotalDSSD0 plot showing all hits in one spot (this is with BEAM AND TARGET on)
TEST: Turning off target while beam is running, can still see hotspot in DSSD0 but no others, so definitely beam related. (attatchment 18)
Tom's estimate: 10^6 particles in 10 minutes - not as many as can be handled
/////// UPDATE ////////
No beam, no target (target off)
All checks ok (see attachment 20 and 21 for rates and temperatures)
Attachment 22: Layout 7 waveforms
Attatchment 23: Layout 8 waveforms
Attachment 24: Layout 2 waveforms
Attachment 25: Layout 1 waveforms (rate)
Atatchment 26: Leakage current
Attachment 27: LowEnergyExRatedssd 0,1,2,3 respectively
Note: Data similar to that seen in DSSD0 (19 MeV peak) is not observed in MIDAS for the other DSSDs -> Issue is not related to the monitoring code
|
Attachment 1: Screenshot_from_2024-02-09_09-03-01.png
|
|
Attachment 2: Screenshot_from_2024-02-09_09-03-28.png
|
|
Attachment 3: Screenshot_from_2024-02-09_09-04-12.png
|
|
Attachment 4: Screenshot_from_2024-02-09_09-08-45.png
|
|
Attachment 5: Screenshot_from_2024-02-09_09-11-08.png
|
|
Attachment 6: Screenshot_from_2024-02-09_09-13-29.png
|
|
Attachment 7: 20240209_100016.jpg
|
|
Attachment 8: Screenshot_from_2024-02-09_11-19-45.png
|
|
Attachment 9: Screenshot_from_2024-02-09_11-21-36.png
|
|
Attachment 10: Screenshot_from_2024-02-09_11-40-36.png
|
|
Attachment 11: Screenshot_from_2024-02-09_11-39-09.png
|
|
Attachment 12: dfcbe734-8730-4e0f-acfd-5e778e2b3f9f.JPG
|
|
Attachment 13: Screenshot_from_2024-02-09_13-17-12.png
|
|
Attachment 14: Screenshot_from_2024-02-09_13-17-27.png
|
|
Attachment 15: Screenshot_from_2024-02-09_13-17-31.png
|
|
Attachment 16: Screenshot_from_2024-02-09_13-17-40.png
|
|
Attachment 17: Screenshot_from_2024-02-09_13-18-46.png
|
|
Attachment 18: Screenshot_from_2024-02-09_13-41-38.png
|
|
Attachment 19: Screenshot_from_2024-02-09_13-55-21.png
|
|
Attachment 20: Screenshot_from_2024-02-09_16-25-22.png
|
|
Attachment 21: Screenshot_from_2024-02-09_16-25-38.png
|
|
Attachment 22: Screenshot_from_2024-02-09_16-27-06.png
|
|
Attachment 23: Screenshot_from_2024-02-09_16-27-40.png
|
|
Attachment 24: Screenshot_from_2024-02-09_16-28-11.png
|
|
Attachment 25: Screenshot_from_2024-02-09_16-28-39.png
|
|
Attachment 26: Screenshot_from_2024-02-09_16-29-55.png
|
|
Attachment 27: Screenshot_from_2024-02-09_16-30-11.png
|
|
500
|
Thu Feb 8 17:11:50 2024 |
CB, EH | Pulser walkthrough |
17:30 File G22-88/R8
Pulser walkthrough
EGG Ortec 448 Pulser
Amplitide 90,000-10,000 @ 10,000 step
Normalise 5.0
Attenuators x5 IN
t_r 100ns
Tau_d 50us
Frequency Int Osc 50Hz
Polarity + ( - polarity via Cooknell SA1 Sum & Invert Amp )
18:10 Moved to new run R9
Pulser off. Overnight background. |
Attachment 1: 4.png
|
|
Attachment 2: 3.png
|
|
499
|
Thu Feb 8 14:59:59 2024 |
JM, TD | Change p+n FEE64 settings |
ASIC settings for the pn FEEs has been reset to the default aida settings. This was done to combat the observed dropping of several channels in pn only FEE modules
following motors movement. This effect was also observed in 2022 in several pulser walkthroughs conducted pre and post detector movement. No dropped channels were
observed in nn FEEs.
ASIC seetings changed;
IBias LF feedback from 0xf to 0x8
diode link threshold from 0xbf to 0xca
We also set the slow comparatort for all FEE modules back to 0x64 (1 MeV)
Settings tested using only 2 pn FEE modules (aida05 and aida16). Movement left and right. Dropped channels observed in all pn FEEs except aida05 and aida16. All pn ASIC
settings then changed. Movement for 10 repeptitions. No dropped channels observed in pn FEEs.
New settings saved in 2024Feb08-15.59.09 |
498
|
Thu Feb 8 11:22:28 2024 |
JM | Shifters instruction 2024 |
EMERGENCY SHUTDOWNS
1. CARME motors: Q key on keyboard while on workspace 1 of appc218 (right of this double-monitor setup)
2. Internal target:
ELOG
Each shift should put all information relating to the shift in ONE elog entry unless a significant change occurs during the shift i.e beam off, target off, run change, detectors out etc.
Elog entry should be named by the shift - morning/afternoon/night followed by the current run number
TO DO FOR THE SHIFTERS - CHECK EVERY HOUR AND POST TO ELOG WITH SCREENSHOTS. ELOG should be updated with current beam energy, injection beam intensity, system checks OK/not, FEE temperature OK/not, Statistics OK/not, Merger OK/not, tape server data rate, Motors OK/not and min/max motors potentiometer value. The time of each update should be noted in the elog. Screenshots of important screens (detailed below) should also be uploaded to the elog.
CARME DAQ
CARME computer has 6 workspaces (WS), two monitors for each WS.
WS1 : left monitor - fee power
right monitor - Detector Bias control, fee power server (just a terminal, do not close)
WS2 : left monitor - DAQ controls
right monitor - DAQ server (just a terminal, do not close)
Most important tabs (DAQ controls)
- Run control : shows daq status, stopped / going
- Fee temperatures : temperatures of fees labelled aida01, aida02, aida03, aida04, aida05, aida06, aida07, aida08, aida09, aida10, aida11, aida12, aida13, aida14, aida15, aida16. Hit reload, temperatures will update and should all be green. 1-2 C above safe limit (top) is OK. More than that - warn expert shifter immediately.
- Statistics : shows the statistics for each fee. Make sure ADC Data Items is selected in the left hand menu. Hit update all (SCREENSHOT once, if no changes record OK in elog)
- System wide checks : checks for the setup. Click on 'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' to run checks. (SCREENSHOT once, if no changes record OK in elog)
- Spectrum browser : loads histograms for fees and fee channels from preset layouts. select layout from 'layout ID' then restore layout from 'Arrange functions' drop down menu. Check layout 1, Select log scale and change xmax as 128 . This displays the data rate per strip. If any strips are a lot higher than the others, or very different from previous entry, make a note in the ELOG. (SCREENSHOT once, if no changes record OK in elog)
- Asic control : sets asic parameters
WS3 : left monitor - Merge control, Tape server
right monitor - servers (just terminals, do not close) , data being written to file
- Check NewMerger tab. Hit reload button and see if 'current links with data' and 'current merged data rate' are updating. All links should go green, but not necessarily at the same time. Keep hitting refresh until you see all of them going green at least once.
- Data rate, hit reload should be around 100,000. Record data rate in elog
- Check tapeService tab. Hit reload button. Check data rate in Kbytes/sec box. Record data rate to elog. This is where the current run number is displayed
- On the right-hand monitor, bottom right terminal, check the current run fragment (sub-run) is being written and is slowly increasing in size. It refreshed automatically every 5 seconds.
WS4 : Leakage current plot
- Check leakage current plot is stable. Transients will occur during motors movement but baseline current should remain consistent. Make sure it is updating and time is correct. (SCREENSHOT once, record OK in elog if no changes) Check present values on WS2, CAEN window
WS5 : Online data monitoring
- Check the following plots are updating for each DSSD
- LowEnergyXYTotal (right click - col - colz). This shows the total number of events, per pixel, since the code was started / re-started.
- LowEnergyXYRate (right click - col - colz). This shows the rate over the last 20 seconds.
- LowEnergyExRate (double left click. Right click on y axis - Log scale on y). Rate over the last 20 seconds
- LowEnergyExTotal (double left click. Right click on y axis - Log scale on y). This shows the total energy deposited on all X strips since code restart.
- LowEnergyEyTotal (as above). as above, Y strips. (SCREENSHOT of each per DSSD per shift. If significant changes are observed screenshot again)
WS6 : Browser, ELOG
-->IMPORTANT NOTE: MIDAS DAQ can respond slowly. DO NOT try to change tab or give new command while the previous one is being executed. Check activity in right-hand part of tab currently in use. Do not double click on buttons.
Motors computer (Computer to the right)
- Check motors cycle is running. The code will cycle through the loaded motors instructions. When an instruction is being done it is highlighted in bold. Check the bold highlighting cycles through entire set of instructions.
- Check potentiometer is reproducing same max/min values for each cycle of instructions.
- Detectors are on LEFT and RIGHT arm.
- No need for screenshots, but record in the ELOG you checked this.
|
497
|
Wed Feb 7 13:50:20 2024 |
Elena Hanu, Carlo Bruno, Michael Lestinsky | Afternoon shift |
14:50
Temperature, Statistics fine (see screenshots).
System wide checks fine (see below).
All FEEs pass clock check.
All FEEs pass White Rabbit check.
ADC Calibration check:
FEE64 module aida02 failed
FEE64 module aida16 failed
Calibration test result: Passed 14, Failed 2
If any modules fail calibration , check the clock status and open the FADC Align and Control browser page to rerun calibration for that module
FPGA timestamp error check:
Base Current Difference
aida09 fault 0x1 : 0xa : 9
FPGA Timestamp error counter test result: Passed 15, Failed 1
If any of these counts are reported as in error
The ASIC readout system has detected a timeslip.
That is the timestamp read from the time FIFO is not younger than the last
16:00 Slow comp thresh lowered to 100 keV in preparation for detector moving. Will have to test systematically what is the highest threshold we can accept
17:00 Temps fine. No overnight run.
|
Attachment 1: Screenshot_from_2024-02-07_14-53-36.png
|
|
Attachment 2: Screenshot_from_2024-02-07_14-56-37.png
|
|
Attachment 3: Screenshot_from_2024-02-07_14-51-21.png
|
|
496
|
Wed Feb 7 10:09:14 2024 |
CB, JG, EM | Morning Shift |
11:00
Changed slow comp threshold to 0x32 (500 keV). Checkloaded.
Temperature, Statistics fine (see screenshots).
System wide checks fine (see below).
All FEEs pass clock check.
All FEEs pass White Rabbit check.
ADC Calibration check:
FEE64 module aida02 failed
FEE64 module aida16 failed
Calibration test result: Passed 14, Failed 2
If any modules fail calibration , check the clock status and open the FADC Align and Control browser page to rerun calibration for that module
FPGA timestamp error check:
Base Current Difference
aida09 fault 0x1 : 0xa : 9
FPGA Timestamp error counter test result: Passed 15, Failed 1
If any of these counts are reported as in error
The ASIC readout system has detected a timeslip.
That is the timestamp read from the time FIFO is not younger than the last
|
Attachment 1: Screenshot_from_2024-02-07_11-10-08.png
|
|
Attachment 2: Screenshot_from_2024-02-07_11-10-59.png
|
|
Attachment 3: Screenshot_from_2024-02-07_11-15-53.png
|
|
Attachment 4: Screenshot_from_2024-02-07_11-20-38.png
|
|
495
|
Tue Feb 6 09:39:54 2024 |
CB | Detector bias tests |
10:30 Found ADC#4 on aida 1 and 4 are suddenly noisy. See attach 1-2. Checkloaded multiple times - no effect.
Leakage current overnight was stable, but a clear transient can be observed yesterday around the times of the DSSD motion test. We did not observe this in the cave looking at the CAEN module - it might have been too fast.
Now reducing bias to 50 V and will check differences later. FEE temperatures OK.
10:50 Started DAQ. Rates are much higher in n+n strips, but p+n strips appear unaffected. See attach 4-5.
Stopped DAQ. Will leave 50V until after lunch.
11:27 Checked motor control status after yesterday's setup (see previous ELOG entry). See attach 6.
IN counters are respectively: read, increases, latch (left), latch (right)
Read is the number of pulses sent with the instruction to move. Increases is the number of times the instruction was considered. Latch on/off indicates the 1 s time interval during which commands sent to a motor arm (left or right) are considered double-firing or mistake, and ignored.
The number of increases is the same for move in/out - good!
The number of increases for move out is 1 unit less than move in - one double pulse / double command must have been received overnight and ignored.
Note torque on right arm is 2 (arbitrary units) which is unusually high and may indicate some tension in the kapton wires. No apparent effect on DSSD2,4 on that arm. Will not move for now.
13:24 FEE temperatures OK, leakage currents decreasing slighly.
13:41 Slowly increased bias to 100V. Interestingly it is now DSSD#4 (Ch#3) that displays the highest leakage current. Attach 7
13:45 Stats & Rates look rather similar to earlier this morning at 150V, but aida01 and 04 are quieter. Attach 8-9. Will leave at 100V for a while longer before moving to 150V.
15:20 Set bias to 150V
15:50 Nikos testing target. Started short run. Stats & Rates attached (10-11). Same as yesterday, aida01 and 04 ADC#4 no longer noisy.
V-I on attach 12-13. Legends unreliable!
17:00 Nikos completed target test. YR09 pressure around 3E-10 mbar with around 5E11 atoms/cm2 He target. Target not quite stable (10-20%), some optimisation required, but pressure holds at 3E-10 mbar for several minutes.
Beam on target will increase ring pressure. Can't test for now. Target tests without beam complete. Need to log all the data remotely. No logging available at present.
Leaving bias at 150V overnight. No run.
|
Attachment 1: Screenshot_from_2024-02-06_10-39-06.png
|
|
Attachment 2: Screenshot_from_2024-02-06_10-39-15.png
|
|
Attachment 3: Screenshot_from_2024-02-06_10-39-27.png
|
|
Attachment 4: Screenshot_from_2024-02-06_10-51-42.png
|
|
Attachment 5: Screenshot_from_2024-02-06_10-51-50.png
|
|
Attachment 6: Screenshot_from_2024-02-06_11-26-53.png
|
|
Attachment 7: Screenshot_from_2024-02-06_13-41-40.png
|
|
Attachment 8: Screenshot_from_2024-02-06_13-44-26.png
|
|
Attachment 9: Screenshot_from_2024-02-06_13-44-38.png
|
|
Attachment 10: Screenshot_from_2024-02-06_15-49-10.png
|
|
Attachment 11: Screenshot_from_2024-02-06_15-49-16.png
|
|
Attachment 12: Screenshot_from_2024-02-06_15-53-22.png
|
|
Attachment 13: Screenshot_from_2024-02-06_15-54-40.png
|
|
494
|
Mon Feb 5 20:15:31 2024 |
CB, TD | Detector move in/out signals |
17.43 setup signals from detector movement controller.
See attachment 1
NIM TTL TTL +12V
Signal from CRYRING ------> CAEN N89 ------> LF4000 -------> CAEN N89 -------> LeCroy 222 -------> PCB amp -------> start move in
( active high ) TTL NIM FIFO -------> CAEN N89 -------> LeCroy 222 -------> PCB amp -------> start move out
not(NIM) TTL TTL ^ +12V
|
|
CAEN N89 mode switch to OUT PSU +12V
Widths of signals from LeCroy 222
move in = 75ms
move out = 25ms
Note - the outputs of EG&G/ESN LF4000 FIFO could be connected directly to the LeCroy 222 as the inputs accept NIM and TTL |
Attachment 1: 20240205_174307.jpg
|
|
493
|
Mon Feb 5 19:43:52 2024 |
CB, TD, ML | BuTIS reference for VETAR2 |
16.40 CB/ML install fibre optic cable from patch panel beneath dipole downstream of YR09/CARME chamber to VETAR2 front panel fibre connector - see attachments 1 & 2.
VETAR2 LCD display changes from magenta to cyan.
DAQ stop. DAQ reset. DAQ setup.
All system wide checks OK.
WR timestamp control - attachment 3 is timed 16.43.11 UTC+1
WR timestamp 0x17B101E7 7FCD1ACD -> 1707147827543349965ns -> 1707147827s -> Monday, February 5, 2024 3:43:47 PM UTC ( https://www.epochconverter.com/ ) |
Attachment 1: 20240205_173029.jpg
|
|
Attachment 2: 20240205_172957.jpg
|
|
Attachment 3: Screenshot_from_2024-02-05_16-43-18.png
|
|
492
|
Mon Feb 5 12:48:50 2024 |
CB TD | Pulser walkthough - Run4 |
13.41 DAQ start - file G22-88/R4
Pulser walkthrough
EGG Ortec 448 Pulser
Amplitide 90,000-10,000 @ 10,000 step
Normalise 5.0
Attenuators x5 IN
t_r 100ns
Tau_d 50us
Frequency Int Osc 50Hz
Polarity + ( - polarity via Cooknell SA1 Sum & Invert Amp )
File G22-88/R4
14.08 DAQ stop file G22-88/R4_8 |
Attachment 1: Screenshot_from_2024-02-05_14-04-33.png
|
|
Attachment 2: Screenshot_from_2024-02-05_14-05-16.png
|
|
491
|
Mon Feb 5 05:45:26 2024 |
CB TD | Run3 - end |
06.40 DAQ continues file G22-88/R3_42
DSSSD bias OK - attachment 1
ambient temperature 16.8 deg C
FEE64 temperatures OK - attachment 2
ADC data item stats - attachments 3
aida15 rate c. 34k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
aida01 rate c. 75k ( 2x 'hot' channels )
per FEE64 Stat spectra - attachments 4-5
Merger and TapeServer - attachments 6-7
All system wide checks OK except
aida02 & aida08 FPGA timestamp error - attachment 8
WR timestamps OK - attachment 9
09.47 DAQ continues file G22-88/R3_46
DSSSD bias OK - attachment 10
ambient temperature 16.8 deg C
FEE64 temperatures OK - attachment 11
ADC data item stats - attachments 12
aida15 rate c. 34k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
aida01 rate c. 75k ( 2x 'hot' channels )
per FEE64 Stat spectra - attachments 13-14
Merger and TapeServer - attachments 15-16
09.52 per FEE64 Rate spectra - attachments 17-18
per FEE64 1.8.L spectra - attachments 19-20
per FEE64 1.8.H spectra - attachments 21-22
per p+n FEE64 1.8.W spectra - 20us, 200us, 2ms & 20ms FSR - attachments 23-26
per n+n FEE64 1.8.W spectra - 20us, 200us, 2ms & 20ms FSR - attachments 27-30
10.11 Merger stats blocks 0-4, 14-16 - attachments 31-38
10.14 aida01-aida04, aida14-aida16 stats- attachments 39-45
10.21 Overnight V-I attach 46
10.23 Save current ASIC settings 2024Feb05-10.22.28
changes to disc mask and LED waveform threshold for some FEE64s
10.32 Analysis of data file G22-88/R3_45 - attachments 47
deadtime aida01 c. 0.5%, all other FEE64s deadtime c. 0%
13.07 DAQ stop file G22-88/R3_50
Stop merger
Stop tapeserver
Afternoon: Tested detector motion. Channels on p+n strips drop out with high threshold (1 MeV), but not with low threshold (100 keV). No issues on n+n strips. Reasons unclear. |
Attachment 1: Screenshot_from_2024-02-05_06-40-57.png
|
|
Attachment 2: Screenshot_from_2024-02-05_06-41-22.png
|
|
Attachment 3: Screenshot_from_2024-02-05_06-41-47.png
|
|
Attachment 4: Screenshot_from_2024-02-05_06-42-04.png
|
|
Attachment 5: Screenshot_from_2024-02-05_06-42-29.png
|
|
Attachment 6: Screenshot_from_2024-02-05_06-42-55.png
|
|
Attachment 7: Screenshot_from_2024-02-05_06-43-16.png
|
|
Attachment 8: Screenshot_from_2024-02-05_06-44-10.png
|
|
Attachment 9: Screenshot_from_2024-02-05_06-44-55.png
|
|
Attachment 10: Screenshot_from_2024-02-05_09-46-59.png
|
|
Attachment 11: Screenshot_from_2024-02-05_09-47-39.png
|
|
Attachment 12: Screenshot_from_2024-02-05_09-47-52.png
|
|
Attachment 13: Screenshot_from_2024-02-05_09-48-22.png
|
|
Attachment 14: Screenshot_from_2024-02-05_09-48-29.png
|
|
Attachment 15: Screenshot_from_2024-02-05_09-48-51.png
|
|
Attachment 16: Screenshot_from_2024-02-05_09-49-05.png
|
|
Attachment 17: Screenshot_from_2024-02-05_09-52-04.png
|
|
Attachment 18: Screenshot_from_2024-02-05_09-52-14.png
|
|
Attachment 19: Screenshot_from_2024-02-05_09-52-57.png
|
|
Attachment 20: Screenshot_from_2024-02-05_09-53-33.png
|
|
Attachment 21: Screenshot_from_2024-02-05_09-55-33.png
|
|
Attachment 22: Screenshot_from_2024-02-05_09-56-09.png
|
|
Attachment 23: Screenshot_from_2024-02-05_09-57-05.png
|
|
Attachment 24: Screenshot_from_2024-02-05_09-58-51.png
|
|
Attachment 25: Screenshot_from_2024-02-05_09-59-23.png
|
|
Attachment 26: Screenshot_from_2024-02-05_09-59-56.png
|
|
Attachment 27: Screenshot_from_2024-02-05_10-03-26.png
|
|
Attachment 28: Screenshot_from_2024-02-05_10-02-25.png
|
|
Attachment 29: Screenshot_from_2024-02-05_10-01-57.png
|
|
Attachment 30: Screenshot_from_2024-02-05_10-01-25.png
|
|
Attachment 31: Screenshot_from_2024-02-05_10-11-41.png
|
|
Attachment 32: Screenshot_from_2024-02-05_10-11-46.png
|
|
Attachment 33: Screenshot_from_2024-02-05_10-11-51.png
|
|
Attachment 34: Screenshot_from_2024-02-05_10-11-57.png
|
|
Attachment 35: Screenshot_from_2024-02-05_10-12-04.png
|
|
Attachment 36: Screenshot_from_2024-02-05_10-12-39.png
|
|
Attachment 37: Screenshot_from_2024-02-05_10-12-44.png
|
|
Attachment 38: Screenshot_from_2024-02-05_10-13-11.png
|
|
Attachment 39: Screenshot_from_2024-02-05_10-14-43.png
|
|
Attachment 40: Screenshot_from_2024-02-05_10-14-50.png
|
|
Attachment 41: Screenshot_from_2024-02-05_10-15-43.png
|
|
Attachment 42: Screenshot_from_2024-02-05_10-15-48.png
|
|
Attachment 43: Screenshot_from_2024-02-05_10-15-54.png
|
|
Attachment 44: Screenshot_from_2024-02-05_10-16-00.png
|
|
Attachment 45: Screenshot_from_2024-02-05_10-16-06.png
|
|
Attachment 46: Screenshot_from_2024-02-05_10-21-03.png
|
|
Attachment 47: R3_45
|
*** TDR format 3.3.0 analyser - TD - May 2021
*** ERROR: READ I/O error: 5002
blocks: 32000
ADC data format: 261748120 ( 83699.2 Hz)
Other data format: 171882 ( 55.0 Hz)
Sample trace data format: 0 ( 0.0 Hz)
Undefined format: 0 ( 0.0 Hz)
Other data format type: PAUSE: 194 ( 0.1 Hz)
RESUME: 194 ( 0.1 Hz)
SYNC100: 43648 ( 14.0 Hz)
WR48-63: 43648 ( 14.0 Hz)
FEE64 disc: 84198 ( 26.9 Hz)
MBS info: 0 ( 0.0 Hz)
Other info: 0 ( 0.0 Hz)
ADC data range bit set: 3 ( 0.0 Hz)
Timewarps: ADC: 0 ( 0.0 Hz)
PAUSE: 0 ( 0.0 Hz)
RESUME: 0 ( 0.0 Hz)
SYNC100: 0 ( 0.0 Hz)
WR48-63: 0 ( 0.0 Hz)
FEE64 disc: 0 ( 0.0 Hz)
MBS info: 0 ( 0.0 Hz)
Undefined: 0 ( 0.0 Hz)
Sample trace: 0 ( 0.0 Hz)
*** Timestamp elapsed time: 3127.246 s
FEE elapsed dead time(s) elapsed idle time(s)
0 17.539 0.000
1 0.000 0.000
2 0.000 0.000
3 0.000 991.338
4 0.000 0.000
5 0.000 0.000
6 0.000 0.000
7 0.000 0.000
8 0.000 0.000
9 0.000 0.000
10 0.000 0.000
11 0.000 0.000
12 0.000 0.000
13 0.000 0.000
14 0.500 0.000
15 0.000 0.000
16 0.000 0.000
17 0.000 0.000
18 0.000 0.000
19 0.000 0.000
20 0.000 0.000
21 0.000 0.000
22 0.000 0.000
23 0.000 0.000
24 0.000 0.000
25 0.000 0.000
26 0.000 0.000
27 0.000 0.000
28 0.000 0.000
29 0.000 0.000
30 0.000 0.000
31 0.000 0.000
32 0.000 0.000
*** Statistics
FEE ADC Data Other Data Sample Undefined Pause Resume SYNC100 WR48-63 Disc MBS Other HEC Data
0 172462915 139302 0 0 170 170 27567 27567 83828 0 0 0
1 45 2 0 0 0 0 0 0 2 0 0 1
2 58 0 0 0 0 0 0 0 0 0 0 0
3 167035 79 0 0 0 0 24 24 31 0 0 0
4 332 0 0 0 0 0 0 0 0 0 0 0
5 257 0 0 0 0 0 0 0 0 0 0 0
6 254 0 0 0 0 0 0 0 0 0 0 0
7 370 0 0 0 0 0 0 0 0 0 0 0
8 336 0 0 0 0 0 0 0 0 0 0 0
9 75 0 0 0 0 0 0 0 0 0 0 0
10 99 0 0 0 0 0 0 0 0 0 0 0
11 240 164 0 0 0 0 0 0 164 0 0 0
12 1266 0 0 0 0 0 0 0 0 0 0 0
13 218 0 0 0 0 0 0 0 0 0 0 0
14 89113402 32165 0 0 24 24 16057 16057 3 0 0 2
15 1218 170 0 0 0 0 0 0 170 0 0 0
16 0 0 0 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0 0 0 0
*** Timewarps
FEE ADC Pause Resume SYNC100 WR48-63 Disc MBS Undefined Samples
0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0
2 0 0 0 0 0 0 0 0 0
3 0 0 0 0 0 0 0 0 0
4 0 0 0 0 0 0 0 0 0
5 0 0 0 0 0 0 0 0 0
6 0 0 0 0 0 0 0 0 0
7 0 0 0 0 0 0 0 0 0
8 0 0 0 0 0 0 0 0 0
9 0 0 0 0 0 0 0 0 0
10 0 0 0 0 0 0 0 0 0
11 0 0 0 0 0 0 0 0 0
12 0 0 0 0 0 0 0 0 0
13 0 0 0 0 0 0 0 0 0
14 0 0 0 0 0 0 0 0 0
15 0 0 0 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0
*** Program elapsed time: 24.477s ( 1307.373 blocks/s, 81.711 Mb/s)
|
490
|
Sun Feb 4 08:40:04 2024 |
CB TD | Run3 - contd |
09.30 DAQ continues file G22-88/R3_17
DSSSD bias OK - attachment 1
ambient temperature 16.8 deg C
FEE64 temperatures OK - attachment 2
ADC data item stats - attachment 3
aida15 rate c. 25k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
aida01 rate c. 45k ( 2x 'hot' channels )
per FEE64 Stat spectra - attachments 4-5
per FEE64 1.8.L spectra - attachments 6-7
per FEE64 1.8.W spectra - 20us FSR - attachments 8-9
Merger and TapeServer - attachments 10-11
09.36 Check ASIC load
No change to aida01 ADC data item rate
Last access times of data files indicate that data rate changed c. 05.00 - 06.00 this am.
No obvious vacuum/temperature transients in last six hours.
09.55 All system wide checks OK except
FPGA timestamp errors - attachment 12
WR timestamps OK - attachment 13
10:06 V-I plot overnight - attach 14.
13.35 DAQ continues file G22-88/R3_22
DSSSD bias OK - attachment 15
ambient temperature 16.8 deg C
FEE64 temperatures OK - attachment 16
ADC data item stats - attachment 17
aida15 rate c. 35k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
aida01 rate c. 51k ( 2x 'hot' channels )
per FEE64 Rate spectra - attachments 18
per FEE64 Stat spectra - attachments 19-20
Merger and TapeServer - attachments 21-22 |
Attachment 1: Screenshot_from_2024-02-04_09-30-41.png
|
|
Attachment 2: Screenshot_from_2024-02-04_09-31-07.png
|
|
Attachment 3: Screenshot_from_2024-02-04_09-31-40.png
|
|
Attachment 4: Screenshot_from_2024-02-04_09-32-26.png
|
|
Attachment 5: Screenshot_from_2024-02-04_09-32-42.png
|
|
Attachment 6: Screenshot_from_2024-02-04_09-33-23.png
|
|
Attachment 7: Screenshot_from_2024-02-04_09-34-00.png
|
|
Attachment 8: Screenshot_from_2024-02-04_09-34-37.png
|
|
Attachment 9: Screenshot_from_2024-02-04_09-35-21.png
|
|
Attachment 10: Screenshot_from_2024-02-04_09-37-23.png
|
|
Attachment 11: Screenshot_from_2024-02-04_09-38-28.png
|
|
Attachment 12: Screenshot_from_2024-02-04_09-55-02.png
|
|
Attachment 13: Screenshot_from_2024-02-04_09-55-18.png
|
|
Attachment 14: Screenshot_from_2024-02-04_10-05-07.png
|
|
Attachment 15: Screenshot_from_2024-02-04_13-35-51.png
|
|
Attachment 16: Screenshot_from_2024-02-04_13-36-21.png
|
|
Attachment 17: Screenshot_from_2024-02-04_13-36-41.png
|
|
Attachment 18: Screenshot_from_2024-02-04_13-37-35.png
|
|
Attachment 19: Screenshot_from_2024-02-04_13-38-27.png
|
|
Attachment 20: Screenshot_from_2024-02-04_13-38-52.png
|
|
Attachment 21: Screenshot_from_2024-02-04_13-40-02.png
|
|
Attachment 22: Screenshot_from_2024-02-04_13-40-20.png
|
|
489
|
Sat Feb 3 15:05:10 2024 |
CB, TD | [How To] Restart Anydesk |
1. Check Proxy server is correct
localhost : 8080
incoming connections 7070
Establish port
ssh -L 8080:proxy.gsi.de:8080 carme@atppc025
2. Check a password for remote access has been set up
3. Close anydesk and check it is *really* closed using
ps -o pid= -C anydesk
kill -9 any process (as root)
4 Restart AnyDesk
systemctl restart anydesk (as npg)
|
488
|
Sat Feb 3 00:13:03 2024 |
CB TD | Run1 end - R3 start |
01.07 DAQ continues file G22-88/R1_46
background alpha
DSSSD bias OK - attachment 1
FEE64 temps OK - attachment 2
ADC data item stats - attachment 3
aida15 asic #2 u/s - rate c. 266k
aida11 1*L spectra - attachment 4
ADC data items/s Merger, Tape Server & Merger stats - attachments 5-7
data rate to disk c. 2Mb/s dominated by aida15 asic 2
10.05 DAQ continues file G22-88/R1_79
background alpha
DSSSD bias OK - attachment 8
ambient temperature 16.8 deg C
FEE64 temps OK - attachment 9
ADC data item stats - attachment 10
aida15 asic #2 u/s - rate c. 266k
aida11 1*L spectra - attachment 11
ADC data items/s Merger, Tape Server & Merger stats - attachments 12-14
data rate to disk c. 2Mb/s dominated by aida15 asic 2
10.12 per FEE64 Stat spectra - attachment 15
missing channels aida03, aida04, aida05 & aida15
Check ASIC load aida03, aida04, aida05 & aida15
13:25 Overnight leakage current plot - att 16
13.38 DAQ continues file G22-88/R1_92
background alpha
DSSSD bias OK - attachment 17
ambient temperature 16.8 deg C
FEE64 temps OK - attachment 18
ADC data item stats - attachment 19
aida15 asic #2 u/s - rate c. 266k
aida11 1*L spectra - attachment 20
ASIC check load at 10.12 should result in 1-2 hits per channel in the Stat spectra aida03, aida04, aida05 and aida15
Channels which continue to show zero counts likely indicate a FEE64-adaptor PCB connection issue
Channels which now show 1, or more, counts cf. attachment 15 likely correspond to channels now working following the check ASIC load
ADC data items/s Merger, Tape Server & Merger stats - attachments 21-23
data rate to disk c. 2Mb/s dominated by aida15 asic 2
13.48 Stopped DAQ file G22-88/R1_93
DSSSD bias OFF
FEE64 power OFF
16.00 Installed FEE64 power cable to aida16
Replaced aida15 with new FEE64 MAC 41:f6:5a
Update dhcpd.conf
Update MIDAS config to include aida16
FEE64 power ON
All system wide checks OK
DSSSD bias OK - attachment 24
FEE64 temperatures OK - attachment 25
ADC data item stats - attachment 26
aida15 rate changes from c. 260k to c. 25k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
per FEE64 Rate spectra - attachment 27
per FEE64 1.8.L spectra - attachments 28-29
pulser peak width aida11 44 ch FWHM, aida14 57 ch FWHM
per FEE64 1.8.W spectra - 20us FSR - attachments 30-31
Update NewMerger startup script and Options for 16x FEE64s - see attachments 32-33
16.20 file G22-88/R2
Pulser 50Hz
16.28 DAQ stop
Pulser OFF
All histograms zero'd
16.33 DAQ starts file G22-88/R3
alpha background
ADC data item stats OK - attachment 34
NewMerger, TapeServer and Merger stats - attachments 35-38
16.50 Pulser peak width spreadsheet for file R2 - attachment 39
20.32 DAQ continues file G22-88/R3_9
DSSSD bias OK - attachment 40
FEE64 temperatures OK - attachment 41
ADC data item stats - attachment 42
aida15 rate c. 25k ( 2x 'hot' channels, 1x channel missing, all other channels OK )
per FEE64 Stat spectra - attachment 43
Merger, Merger stats and TapServer - attachments 44-46
Disc data items - attachment 47
aida15 disc rate c. 8k
Disable aida15 disc ( fast comparator ) outputs for asic#3 - attachment 48
Disc data items- attachment 49
all FEE64s rate << 1Hz
Update NewMerger startup script and Options for 16x FEE64s - see attachments 32-33 |
Attachment 1: Screenshot_from_2024-02-03_01-07-28.png
|
|
Attachment 2: Screenshot_from_2024-02-03_01-08-15.png
|
|
Attachment 3: Screenshot_from_2024-02-03_01-09-00.png
|
|
Attachment 4: Screenshot_from_2024-02-03_01-09-39.png
|
|
Attachment 5: Screenshot_from_2024-02-03_01-10-20.png
|
|
Attachment 6: Screenshot_from_2024-02-03_01-11-45.png
|
|
Attachment 7: Screenshot_from_2024-02-03_01-12-23.png
|
|
Attachment 8: Screenshot_from_2024-02-03_10-02-22.png
|
|
Attachment 9: Screenshot_from_2024-02-03_10-02-50.png
|
|
Attachment 10: Screenshot_from_2024-02-03_10-03-29.png
|
|
Attachment 11: Screenshot_from_2024-02-03_10-04-15.png
|
|
Attachment 12: Screenshot_from_2024-02-03_10-04-46.png
|
|
Attachment 13: Screenshot_from_2024-02-03_10-05-09.png
|
|
Attachment 14: Screenshot_from_2024-02-03_10-05-48.png
|
|
Attachment 15: Screenshot_from_2024-02-03_10-12-32.png
|
|
Attachment 16: Screenshot_from_2024-02-03_13-24-46.png
|
|
Attachment 17: Screenshot_from_2024-02-03_13-38-40.png
|
|
Attachment 18: Screenshot_from_2024-02-03_13-38-47.png
|
|
Attachment 19: Screenshot_from_2024-02-03_13-39-04.png
|
|
Attachment 20: Screenshot_from_2024-02-03_13-40-06.png
|
|
Attachment 21: Screenshot_from_2024-02-03_13-40-43.png
|
|
Attachment 22: Screenshot_from_2024-02-03_13-41-00.png
|
|
Attachment 23: Screenshot_from_2024-02-03_13-41-06.png
|
|
Attachment 24: Screenshot_from_2024-02-03_16-01-18.png
|
|
Attachment 25: Screenshot_from_2024-02-03_16-01-33.png
|
|
Attachment 26: Screenshot_from_2024-02-03_16-01-42.png
|
|
Attachment 27: Screenshot_from_2024-02-03_16-02-27.png
|
|
Attachment 28: Screenshot_from_2024-02-03_16-03-16.png
|
|
Attachment 29: Screenshot_from_2024-02-03_16-06-11.png
|
|
Attachment 30: Screenshot_from_2024-02-03_16-07-05.png
|
|
Attachment 31: Screenshot_from_2024-02-03_16-07-35.png
|
|
Attachment 32: CONTENTS
|
0x0006dead string 0x0000
MERGE.LinksAvailable string 16
Index string MERGE.LinksAvailable&&0x0006dead&&MERGE.RunOptions&&MERGE.LinksInUse
Merge.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%
MERGE.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%
MERGE.RunOptions string 3
|
Attachment 33: NewMerger
|
#!/bin/csh -f
echo "Tidy up"
killall -s9 MERGE
killall -s9 merge64.AD
killall -s9 master64
killall -s9 link64
killall -s9 merge64
killall -s9 statrate64
echo "Starting New Merger"
/bin/echo -e "\033];New Merger\007"
if (! $?MIDASBASE) setenv MIDASBASE /MIDAS
#
#
setenv PATH ${MIDASBASE}/Linux/bin64:${PATH}
setenv LD_LIBRARY_PATH ${MIDASBASE}/TclHttpd/Linux64:${MIDASBASE}/Linux/lib64:${MIDASBASE}/lib_Linux64
netint MERGE_SyncStep 0x0000000
cd ${MIDASBASE}/NewMerger/MergeServer/bin64
./master64 -i 16 -l 16 -p 11001 &
sleep 100000000000
#
echo startup complete
#
|
Attachment 34: Screenshot_from_2024-02-03_16-35-07.png
|
|
Attachment 35: Screenshot_from_2024-02-03_16-35-20.png
|
|
Attachment 36: Screenshot_from_2024-02-03_16-35-24.png
|
|
Attachment 37: Screenshot_from_2024-02-03_16-35-31.png
|
|
Attachment 38: Screenshot_from_2024-02-03_16-35-40.png
|
|
Attachment 39: Pulser-widths-carme-2024.xlsx
|
Attachment 40: Screenshot_from_2024-02-03_20-32-07.png
|
|
Attachment 41: Screenshot_from_2024-02-03_20-32-40.png
|
|
Attachment 42: Screenshot_from_2024-02-03_20-33-01.png
|
|
Attachment 43: Screenshot_from_2024-02-03_20-33-24.png
|
|
Attachment 44: Screenshot_from_2024-02-03_20-34-17.png
|
|
Attachment 45: Screenshot_from_2024-02-03_20-34-40.png
|
|
Attachment 46: Screenshot_from_2024-02-03_20-35-02.png
|
|
Attachment 47: Screenshot_from_2024-02-03_20-35-52.png
|
|
Attachment 48: Screenshot_from_2024-02-03_20-36-55.png
|
|
Attachment 49: Screenshot_from_2024-02-03_20-37-14.png
|
|
Attachment 50: Screenshot_from_2024-02-04_09-55-02.png
|
|
487
|
Fri Feb 2 09:58:58 2024 |
TD | TapeServer not writng data to disk - plus fix |
DAQ and NewMerger appear to be cofigured correctly.
Can allocate device, create volume and open file with TapeServer but cannot write data.
See attachmnents 1-3
Noted aida09 WR timestamp issue in attachment 3 - FEE64 Reboot fixed aida09 WR timestamp issue
Restarted DAQ/Merger/TapeServer - still cannot write to disk
14.30 CU provides the following list of NetVar variables used by the Merger
"MERGE_PID"
"MERGE_ID"
"MERGE_Action"
"MERGE_Signal"
"MERGE_Parameter"
"MERGE_Response"
"MERGE_State"
"MERGE_Trace"
"MERGE_Paused"
"MERGE_RunOptions"
"MERGE_HardwareVersion"
"MERGE_LinksAvailable"
"MERGE_TestMode"
"MERGE_PollTicks"
"MERGE_LinksInUse"
"MERGE_LinksAlive"
"MERGE_StatsTime"
"MERGE_Command"
"MERGE_ZeroStats"
"MERGE_SyncStep"
"MERGE_InitialTimeStamp"
"MERGE_LinkState"
"MERGE_LinkStatus"
"MERGE_LinkAlive"
"MERGE_LinkInUse"
15.30 Changed Merger startup and NetVars files ( attachments 6 & 7 )
Change server command line from
./master64 -i 16 -l 16 -p 11001 &
to
./master64 -i 15 -l 15 -p 11001 &
i.e. *exactly* matches number of FEE64s currently in use ( 15x FEE64s, aida01-aida15 )
Change NetVars from
MERGE.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%0%
to
MERGE.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%
i.e. string has 15x 1% strings *not* 15x 1% *plus 1x 0% string
With *both* changes we are now able to write to disk.
N.B. We did not test the above changes individually - it is possible/probable that just one of the changes above fixes the problem. |
Attachment 1: Screenshot_from_2024-02-02_10-57-18.png
|
|
Attachment 2: Screenshot_from_2024-02-02_10-57-51.png
|
|
Attachment 3: Screenshot_from_2024-02-02_10-58-23.png
|
|
Attachment 4: Screenshot_from_2024-02-02_11-01-22.png
|
|
Attachment 5: Screenshot_from_2024-02-02_11-18-45.png
|
|
Attachment 6: NewMerger
|
#!/bin/csh -f
echo "Tidy up"
killall -s9 MERGE
killall -s9 merge64.AD
killall -s9 master64
killall -s9 link64
killall -s9 merge64
killall -s9 statrate64
echo "Starting New Merger"
/bin/echo -e "\033];New Merger\007"
if (! $?MIDASBASE) setenv MIDASBASE /MIDAS
#
#
setenv PATH ${MIDASBASE}/Linux/bin64:${PATH}
setenv LD_LIBRARY_PATH ${MIDASBASE}/TclHttpd/Linux64:${MIDASBASE}/Linux/lib64:${MIDASBASE}/lib_Linux64
netint MERGE_SyncStep 0x0000000
cd ${MIDASBASE}/NewMerger/MergeServer/bin64
./master64 -i 15 -l 15 -p 11001 &
sleep 100000000000
#
echo startup complete
#
|
Attachment 7: CONTENTS
|
0x0006dead string 0x0000
MERGE.LinksAvailable string 15
Index string MERGE.LinksAvailable&&0x0006dead&&MERGE.RunOptions&&MERGE.LinksInUse
Merge.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%0%
MERGE.LinksInUse string 1%1%1%1%1%1%1%1%1%1%1%1%1%1%1%
MERGE.RunOptions string 3
|
486
|
Fri Feb 2 08:59:36 2024 |
JM, TD, CB | Run1 start - pulser widths spreadsheet |
Current pulser widths excel spreadsheet attached
09.58 DSSSD bias OK - attachment 1
Ambient temperature +16.1 deg C FEE64 temps OK - attachment 2
ADC data item stats OK - attachment 3
aida15 asic #2 high rate - asic u/s
system wide tests OK *except* aida09 - attachments 4-5
aida09 WR status 0x20 aida09 WR timestamp incorrect cf. all other FEE64s
per FEE64 Rate spectra - attachment 6
per FEE64 1.8.L spectra - attachments 7-8
aida14 pulse peak witdh 58 ch FWHM
aida11 pulse peak witdh 48 ch FWHM
per FEE64 1.8.W spetra - 20us FSR - attachments 9-10
12.00
aida09 WR timestamp issue resolved by FEE64 reboot
14.10
Safety pins removed from detector motion system. CB performs one, complete DSSSD movement cycle. Zero all histogram and stats per FEE64 Stat spectra ( log scale ) and
ADC
data item stats for the complete DSSSD movement cycle - attachments 11-12
No change observed in DSSSD leakage currents during DSSSD movement cycle.
15.35
Resolved issue from previous elog. Begin background run writing data to disk.
ASIC check load
Start DAQ G22-88/R1 background alpha
EG&G Ortec 448 Pulser OFF
ASIC settings 2024Jan20-12.02.08
slow comparator 0x64 ( all FEE64s *except aida15 asic #2 0xff )
All histograms set to zero
DSSSD bias OK - attachment 13
FEE64 temps OK - attachment 14
WR timestamps OK - attachment 15
ADC data item stats - attachment 16
aida15 asic #2 u/s - rate c. 250k
ADC data items/s Merger, Tape Server & Merger stats - attachments 17-19
data rate to disk c. 2Mb/s dominated by aida15 asic 2 |
Attachment 1: Screenshot_from_2024-02-02_09-59-10.png
|
|
Attachment 2: Screenshot_from_2024-02-02_10-10-46.png
|
|
Attachment 3: Screenshot_from_2024-02-02_10-10-59.png
|
|
Attachment 4: Screenshot_from_2024-02-02_10-11-18.png
|
|
Attachment 5: Screenshot_from_2024-02-02_10-11-37.png
|
|
Attachment 6: Screenshot_from_2024-02-02_10-12-32.png
|
|
Attachment 7: Screenshot_from_2024-02-02_10-13-17.png
|
|
Attachment 8: Screenshot_from_2024-02-02_10-15-11.png
|
|
Attachment 9: Screenshot_from_2024-02-02_10-16-33.png
|
|
Attachment 10: Screenshot_from_2024-02-02_10-18-01.png
|
|
Attachment 11: Screenshot_from_2024-02-02_14-09-42.png
|
|
Attachment 12: Screenshot_from_2024-02-02_14-09-46.png
|
|
Attachment 13: Screenshot_from_2024-02-02_15-41-14.png
|
|
Attachment 14: Screenshot_from_2024-02-02_15-41-35.png
|
|
Attachment 15: Screenshot_from_2024-02-02_15-42-14.png
|
|
Attachment 16: Screenshot_from_2024-02-02_15-42-31.png
|
|
Attachment 17: Screenshot_from_2024-02-02_15-43-02.png
|
|
Attachment 18: Screenshot_from_2024-02-02_15-43-11.png
|
|
Attachment 19: Screenshot_from_2024-02-02_15-43-25.png
|
|
Attachment 20: Pulser-widths-carme-2024.xlsx
|
485
|
Thu Feb 1 14:45:09 2024 |
JM, CB | NewMerger setup |
To include alll 16 FEE modules in the NewMerger we edited the file /MIDAS/DB/EXPERIMENTS/MERGE/Options/carme-gsi from MERGE.LinksAvailable string 5 to MERGE.LinksAvailable string 15
We also edited the file /MIDAS/Linux/startup/NewMerger from ./master64 -i 8 -l 16 -p 11001 & to ./master64 -i 16 -l 16 -p 11001 &
We have started the Merger and tape server. The merger settings were 'corrupted' and did not display xfer Links => Merger. We implemented a fix from https://elog.ph.ed.ac.uk/DESPEC/36 and this resolved the issue.
We started the tape server, merger and go on the run control. We observe the merger working and data links to the tape server. A new file is created each time but we observe no data being written to that file. After 5 minutes of no data being written the merger crashed and the fees crashed soon after. We will leave until tomorrow to resolve.
Issue subsequently resolved see elog https://elog.ph.ed.ac.uk/CARME/487 |
484
|
Thu Feb 1 10:04:11 2024 |
JM, CB | Shaping time testing |
Arrived at the control room to find we had lost connection to the power relay. We rebooted the nnrpi1 and re-connected according to the steps laid out in elog 471 -> all okay
11:00 Restarted daq with no changes to the system from yesterday. Bias consistent with previous days. All pulser peaks are slightly improved (even more for the pn pulser, one goes from 91 chn to 78). No pulser observed in nn FEE modules 9, 10, 13, 14 again.
ADC data items check OK - all ~3k
Rates - all OK - attachment 1
1.8L spectra. - attachment 2,3
1.8W waveforms - attachment 4,5
11:20 Changed shaping time from 0xf to 0x3 (2us)
ADC data items check OK - all ~3k attachment 6
Rates - all OK - attachment 7
1.8L pn spectra. - attachment 8
1.8W waveforms - attachment 9,10
11:35 Changed shaping time from 0x3 to 0x7 (4us)
ADC data items check OK - all ~3k attachment 11
Rates - all OK - attachment 12
1.8L pn spectra. - attachment 13
1.8W waveforms - attachment 14,15
11:45 Changed shaping time from 0x7 to 0xb (6us)
ADC data items check OK - all ~3k - attachment 16
Rates - all OK - attachment 17
1.8L spectra. - attachment 18,19
1.8W waveforms - attachment 20,21
11:59 Changed shaping time from 0xb to 0xd (7us)
ADC data items check OK - all ~3k - attchment 22
Rates - all OK - attachment 23
1.8L pn spectra. - attachment 24
1.8W waveforms - attachment 25, 26
12:09 Changed shaping time back to 0xf (8us)
ADC data items check OK - all ~3k - attchment 27
Rates - all OK - attachment 28
1.8L pn spectra. - attachment 29
1.8W waveforms - attachment 30,31
13:10 Power cycled FEE modules. nn pulser for FEEs 9,10,13,14 are now active again (no changes made in the cave) Pulser widths for nn and pn both consistent with before lunch
|
Attachment 1: Screenshot_from_2024-02-01_10-55-39.png
|
|
Attachment 2: Screenshot_from_2024-02-01_11-01-55.png
|
|
Attachment 3: Screenshot_from_2024-02-01_11-04-08.png
|
|
Attachment 4: Screenshot_from_2024-02-01_11-06-41.png
|
|
Attachment 5: Screenshot_from_2024-02-01_11-07-25.png
|
|
Attachment 6: Screenshot_from_2024-02-01_11-21-46.png
|
|
Attachment 7: Screenshot_from_2024-02-01_11-24-21.png
|
|
Attachment 8: Screenshot_from_2024-02-01_11-25-17.png
|
|
Attachment 9: Screenshot_from_2024-02-01_11-29-19.png
|
|
Attachment 10: Screenshot_from_2024-02-01_11-29-58.png
|
|
Attachment 11: Screenshot_from_2024-02-01_11-34-19.png
|
|
Attachment 12: Screenshot_from_2024-02-01_11-36-16.png
|
|
Attachment 13: Screenshot_from_2024-02-01_11-37-15.png
|
|
Attachment 14: Screenshot_from_2024-02-01_11-41-14.png
|
|
Attachment 15: Screenshot_from_2024-02-01_11-41-50.png
|
|
Attachment 16: Screenshot_from_2024-02-01_11-45-20.png
|
|
Attachment 17: Screenshot_from_2024-02-01_11-46-03.png
|
|
Attachment 18: Screenshot_from_2024-02-01_11-46-56.png
|
|
Attachment 19: Screenshot_from_2024-02-01_11-50-59.png
|
|
Attachment 20: Screenshot_from_2024-02-01_11-52-32.png
|
|
Attachment 21: Screenshot_from_2024-02-01_11-53-17.png
|
|
Attachment 22: Screenshot_from_2024-02-01_11-59-19.png
|
|
Attachment 23: Screenshot_from_2024-02-01_12-00-18.png
|
|
Attachment 24: Screenshot_from_2024-02-01_12-01-00.png
|
|
Attachment 25: Screenshot_from_2024-02-01_12-07-16.png
|
|
Attachment 26: Screenshot_from_2024-02-01_12-07-48.png
|
|
Attachment 27: Screenshot_from_2024-02-01_12-10-03.png
|
|
Attachment 28: Screenshot_from_2024-02-01_12-10-47.png
|
|
Attachment 29: Screenshot_from_2024-02-01_12-12-15.png
|
|
Attachment 30: Screenshot_from_2024-02-01_12-17-28.png
|
|
Attachment 31: Screenshot_from_2024-02-01_12-18-20.png
|
|
483
|
Wed Jan 31 09:49:38 2024 |
JM, TD | Optimising - Wednesday 31 |
Removed ground from DSSD #1 to the chamber and set MACBs so that timestamp is from the NIM WR emulator not the VME VETAR2.
Root MACB mode 0x3 -> 0xd - all other MACB modes 0x3 ( unchanged ).
No timestamps observed from the emulator. Re-set to take timestamps from the VETAR" unit -> all timestamps observed.
10:30
No pulser observed in nn FEE modules 9, 10, 13, 14.
ADC data items check OK - all ~3k - attachment 2
Rates - all OK - attachment 3
1.8L spectra. - attachment 4,5
1.8W waveforms - attachment 6,7
11:00
Checked daisy chain which goes from 8->14->13->10->9. Replaced the lemo cable from 8->14 and pulsers re-appear. Rates all OK except aida10 which has now disappeared, tried asic check and reset and startup again no change in aida10.
Now majority of pulsers are observable the widths will be noted in a spreadsheet
After replacing lemo for nn pulser chain, all nn pulser widths decreased slightly ~10% for all nn FEEs, little change in pn pulser widths but n pulser for DSSD#1,2 appears more gaussian
11:44
FEE64s power cycled. aida10 now prioducing data.
Removed internal jumper from CAEN N1419ET
ADC data items check OK - all ~3k - attachment 8
Rates - all OK - attachment 9
1.8L spectra - attachment 10,11 little change in pn pulser widths but nn pulser widths are slightly broader.
1.8W waveforms - attachment 12,13
15:50 Jumper re-mounted onto caen bias module
ADC data items check OK - all ~3k - attachment 14
Rates - all OK - attachment 15
1.8L spectra - attachment 16,17
1.8W waveforms - attachment 18,19
16:45 Ground cables attached from water pipe (chamber ground) to lemo cable on aida08 (nn), aida13 (nn), aida09 (nn), aida01 (pn) so that all DSSDs are connected to chamber ground.
ADC data items check OK - all ~3k - attachment 20
Rates - all OK - attachment 21
1.8L spectra - attachment 22,23
1.8W waveforms - attachment 24,25
|
Attachment 1: Screenshot_from_2024-01-31_10-30-51.png
|
|
Attachment 2: Screenshot_from_2024-01-31_10-30-32.png
|
|
Attachment 3: Screenshot_from_2024-01-31_10-35-29.png
|
|
Attachment 4: Screenshot_from_2024-01-31_10-36-25.png
|
|
Attachment 5: Screenshot_from_2024-01-31_10-40-38.png
|
|
Attachment 6: Screenshot_from_2024-01-31_10-50-09.png
|
|
Attachment 7: Screenshot_from_2024-01-31_10-51-15.png
|
|
Attachment 8: Screenshot_from_2024-01-31_12-13-56.png
|
|
Attachment 9: Screenshot_from_2024-01-31_12-15-41.png
|
|
Attachment 10: Screenshot_from_2024-01-31_12-16-54.png
|
|
Attachment 11: Screenshot_from_2024-01-31_12-21-42.png
|
|
Attachment 12: Screenshot_from_2024-01-31_12-26-28.png
|
|
Attachment 13: Screenshot_from_2024-01-31_12-27-33.png
|
|
Attachment 14: Screenshot_from_2024-01-31_16-12-15.png
|
|
Attachment 15: Screenshot_from_2024-01-31_16-14-25.png
|
|
Attachment 16: Screenshot_from_2024-01-31_16-15-28.png
|
|
Attachment 17: Screenshot_from_2024-01-31_16-22-14.png
|
|
Attachment 18: Screenshot_from_2024-01-31_16-27-15.png
|
|
Attachment 19: Screenshot_from_2024-01-31_16-28-06.png
|
|
Attachment 20: Screenshot_from_2024-01-31_17-03-14.png
|
|
Attachment 21: Screenshot_from_2024-01-31_17-05-33.png
|
|
Attachment 22: Screenshot_from_2024-01-31_17-06-37.png
|
|
Attachment 23: Screenshot_from_2024-01-31_17-09-40.png
|
|
Attachment 24: Screenshot_from_2024-01-31_17-13-54.png
|
|
Attachment 25: Screenshot_from_2024-01-31_17-14-32.png
|
|
482
|
Tue Jan 30 14:04:30 2024 |
NH | [HowTo] Update MACB Firmware |
This is how to update the MACB firmware using the opensource tool xc3sprog
Setup (only has to be done first time)
--------------------
Install xc3sprog and fxload (ubuntu: sudo apt install xc3sprog fxload)
To configure the USB programmer:
Download xilinx.tar.gz and put the hex files in /usr/share
Download the udev rules and put it in /etc/udev/rules.d
Run:
sudo udevadm control --reload-rules
[Taken from https://github.com/timvideos/litex-buildenv/wiki/Xilinx-Platform-Cable-USB-under-Linux]
Plug in programmer and should work
----------------------------------
Programming a MACB
Open MACB side panel and connect JTAG programmer to port
Plug MACB into NIM bin and power NIM bin on
Run
xc3sprog -c xpc -m -j
Expected output:
XC3SPROG (c) 2004-2011 xc3sprog project $Rev$ OS: Linux
Free software: If you contribute nothing, expect nothing!
Feedback on success/failure/enhancement requests:
http://sourceforge.net/mail/?group_id=170565
Check Sourceforge for updates:
http://sourceforge.net/projects/xc3sprog/develop
JTAG loc.: 0 IDCODE: 0x16d8c093 Desc: XC2C128_TQ144 Rev: A IR length: 8
This confirms the JTAG is working
Then to program run
xc3sprog -c xpc -m . macb_apr20.jed
The directory should contain the macb_apr20.jed and the xc2c128.map files
Expected output:
XC3SPROG (c) 2004-2011 xc3sprog project $Rev$ OS: Linux
Free software: If you contribute nothing, expect nothing!
Feedback on success/failure/enhancement requests:
http://sourceforge.net/mail/?group_id=170565
Check Sourceforge for updates:
http://sourceforge.net/projects/xc3sprog/develop
Programming row 81
Verify: Success
Now power off the NIM bin and remove the programmer and put the cover back on |
Attachment 1: xusbdfwu.rules
|
# version 0003
ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="0008", MODE="666"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="0007", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusbdfwu.hex -D $tempnode"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="0009", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusb_xup.hex -D $tempnode"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="000d", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusb_emb.hex -D $tempnode"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="000f", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusb_xlp.hex -D $tempnode"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="0013", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusb_xp2.hex -D $tempnode"
SUBSYSTEMS=="usb", ACTION=="add", ATTRS{idVendor}=="03fd", ATTRS{idProduct}=="0015", RUN+="/sbin/fxload -v -t fx2 -I /usr/share/xusb_xse.hex -D $tempnode"
|
Attachment 2: xilinx.tar.gz
|
Attachment 3: xc2c128.map
|
user
6507 6523 6536 6552 6565 6581 6594 6610 6623 6639 6652 6668 6684 6700 6716 6732 6745 6761 6774 6790 6803 6819 6832 6848 6861 6877 6890 6906 20323 20339 20352 20368 20381 20397 20410 20426 20439 20455 20468 20484 20497 20513 20529 20545 20561 20574 20590 55324 20603 20619 20632 20648 20661 20677 20690 20706 20719 20735 sec_0
6508 6524 6537 6553 6566 6582 6595 6611 6624 6640 6653 6669 6685 6701 6717 6733 6746 6762 6775 6791 6804 6820 6833 6849 6862 6878 6891 6907 20324 20340 20353 20369 20382 20398 20411 20427 20440 20456 20469 20485 20498 20514 20530 20546 20562 20575 20591 55325 20604 20620 20633 20649 20662 20678 20691 20707 20720 20736 sec_1
6502 6509 6531 6538 6560 6567 6589 6596 6618 6625 6647 6654 6675 6691 6707 6723 6740 6747 6769 6776 6798 6805 6827 6834 6856 6863 6885 6892 20318 20325 20347 20354 20376 20383 20405 20412 20434 20441 20463 20470 20492 20499 20520 20536 20552 20569 20576 20598 20605 20627 20634 20656 20663 20685 20692 20714 20721 sec_2
6503 6510 6532 6539 6561 6568 6590 6597 6619 6626 6648 6655 6676 6692 6708 6724 6741 6748 55326 6770 6777 6799 6806 6828 6835 6857 6864 6886 6893 20319 20326 20348 20355 20377 20384 20406 20413 20435 20442 20464 20471 20493 20500 20521 20537 20553 20570 20577 55330 20599 20606 20628 20635 20657 20664 20686 20693 20715 20722 sec_3
6501 6511 6530 6540 6559 6569 6588 6598 6617 6627 6646 6656 6739 6749 55327 6768 6778 6797 6807 6826 6836 6855 6865 6884 6894 20317 20327 20346 20356 20375 20385 20404 20414 20433 20443 20462 20472 20491 20501 20568 20578 55331 20597 20607 20626 20636 20655 20665 20684 20694 20713 20723 sec_4
6504 6512 6533 6541 6562 6570 6591 6599 6620 6628 6649 6657 6742 6750 55328 6771 6779 6800 6808 6829 6837 6858 6866 6887 6895 20320 20328 20349 20357 20378 20386 20407 20415 20436 20444 20465 20473 20494 20502 20571 20579 55332 20600 20608 20629 20637 20658 20666 20687 20695 20716 20724 sec_5
6505 6521 6534 6550 6563 6579 6592 6608 6621 6637 6650 6666 6743 6759 55329 6772 6788 6801 6817 6830 6846 6859 6875 6888 6904 20321 20337 20350 20366 20379 20395 20408 20424 20437 20453 20466 20482 20495 20511 20572 20588 55333 20601 20617 20630 20646 20659 20675 20688 20704 20717 20733 sec_6
6522 6551 6580 6609 6638 6667 6760 6789 6818 6847 6876 6905 20338 20367 20396 20425 20454 20483 20512 20589 55336 20618 20647 20676 20705 20734 done_0
6496 6516 6525 6545 6554 6574 6583 6603 6612 6632 6641 6661 6670 6686 6702 6718 6734 6754 6763 6783 6792 6812 6821 6841 6850 6870 6879 6899 20312 20332 20341 20361 20370 20390 20399 20419 20428 20448 20457 20477 20486 20506 20515 20531 20547 20563 20583 55338 20592 20612 20621 20641 20650 20670 20679 20699 20708 20728 done_1
6497 6517 6526 6546 6555 6575 6584 6604 6613 6633 6642 6662 6671 6680 6687 6696 6703 6712 6719 6728 6735 6755 6764 6784 6793 6813 6822 6842 6851 6871 6880 6900 20313 20333 20342 20362 20371 20391 20400 20420 20429 20449 20458 20478 20487 20507 20516 20525 20532 20541 20548 20557 20564 20584 55340 20593 20613 20622 20642 20651 20671 20680 20700 20709 20729
6498 6518 6527 6547 6556 6576 6585 6605 6614 6634 6643 6663 6672 6681 6688 6697 6704 6713 6720 6729 6736 6756 6765 6785 6794 6814 6823 6843 6852 6872 6881 6901 20314 20334 20343 20363 20372 20392 20401 20421 20430 20450 20459 20479 20488 20508 20517 20526 20533 20542 20549 20558 20565 20585 20594 20614 20623 20643 20652 20672 20681 20701 20710 20730
6499 6519 6528 6548 6557 6577 6586 6606 6615 6635 6644 6664 6673 6682 6689 6698 6705 6714 6721 6730 6737 6757 6766 6786 6795 6815 6824 6844 6853 6873 6882 6902 20315 20335 20344 20364 20373 20393 20402 20422 20431 20451 20460 20480 20489 20509 20518 20527 20534 20543 20550 20559 20566 20586 20595 20615 20624 20644 20653 20673 20682 20702 20711 20731
6500 6520 6529 6549 6558 6578 6587 6607 6616 6636 6645 6665 6674 6683 6690 6699 6706 6715 6722 6731 6738 6758 6767 6787 6796 6816 6825 6845 6854 6874 6883 6903 20316 20336 20345 20365 20374 20394 20403 20423 20432 20452 20461 20481 20490 20510 20519 20528 20535 20544 20551 20560 20567 20587 20596 20616 20625 20645 20654 20674 20683 20703 20712 20732
6506 6513 6535 6542 6564 6571 6593 6600 6622 6629 6651 6658 6677 6693 6709 6725 6744 6751 6773 6780 6802 6809 6831 6838 6860 6867 6889 6896 20322 20329 20351 20358 20380 20387 20409 20416 20438 20445 20467 20474 20496 20503 20522 20538 20554 20573 20580 20602 20609 20631 20638 20660 20667 20689 20696 20718 20725
6515 6514 6544 6543 6573 6572 6602 6601 6631 6630 6660 6659 6679 6678 6695 6694 6711 6710 6727 6726 6753 6752 6782 6781 6811 6810 6840 6839 6869 6868 6898 6897 20331 20330 20360 20359 20389 20388 20418 20417 20447 20446 20476 20475 20505 20504 20524 20523 20540 20539 20556 20555 20582 20581 20611 20610 20640 20639 20669 20668 20698 20697 20727 20726
5728 5760 5792 5824 5856 5888 5920 5952 5984 6016 6048 6080 5600 5632 5696 5664 6128 6160 6192 6224 6256 6288 6320 6352 6384 6416 6448 6480 19544 19576 19608 19640 19672 19704 19736 19768 19800 19832 19864 19896 19416 19448 19512 19480 19944 19976 20008 20040 20072 20104 20136 20168 20200 20232 20264 20296
5744 5776 5808 5840 5872 5904 5936 5968 6000 6032 6064 6096 5616 5648 5712 5680 6112 6144 6176 6208 6240 6272 6304 6336 6368 6400 6432 6464 19560 19592 19624 19656 19688 19720 19752 19784 19816 19848 19880 19912 19432 19464 19528 19496 19928 19960 19992 20024 20056 20088 20120 20152 20184 20216 20248 20280
5729 5761 5793 5825 5857 5889 5921 5953 5985 6017 6049 6081 5601 5633 5697 5665 6129 6161 6193 6225 6257 6289 6321 6353 6385 6417 6449 6481 19545 19577 19609 19641 19673 19705 19737 19769 19801 19833 19865 19897 19417 19449 19513 19481 19945 19977 20009 20041 20073 20105 20137 20169 20201 20233 20265 20297
5745 5777 5809 5841 5873 5905 5937 5969 6001 6033 6065 6097 5617 5649 5713 5681 6113 6145 6177 6209 6241 6273 6305 6337 6369 6401 6433 6465 19561 19593 19625 19657 19689 19721 19753 19785 19817 19849 19881 19913 19433 19465 19529 19497 19929 19961 19993 20025 20057 20089 20121 20153 20185 20217 20249 20281
5730 5762 5794 5826 5858 5890 5922 5954 5986 6018 6050 6082 5602 5634 5698 5666 6130 6162 6194 6226 6258 6290 6322 6354 6386 6418 6450 6482 19546 19578 19610 19642 19674 19706 19738 19770 19802 19834 19866 19898 19418 19450 19514 19482 19946 19978 20010 20042 20074 20106 20138 20170 20202 20234 20266 20298
5746 5778 5810 5842 5874 5906 5938 5970 6002 6034 6066 6098 5618 5650 5714 5682 6114 6146 6178 6210 6242 6274 6306 6338 6370 6402 6434 6466 19562 19594 19626 19658 19690 19722 19754 19786 19818 19850 19882 19914 19434 19466 19530 19498 19930 19962 19994 20026 20058 20090 20122 20154 20186 20218 20250 20282
5731 5763 5795 5827 5859 5891 5923 5955 5987 6019 6051 6083 5603 5635 5699 5667 6131 6163 6195 6227 6259 6291 6323 6355 6387 6419 6451 6483 19547 19579 19611 19643 19675 19707 19739 19771 19803 19835 19867 19899 19419 19451 19515 19483 19947 19979 20011 20043 20075 20107 20139 20171 20203 20235 20267 20299
5747 5779 5811 5843 5875 5907 5939 5971 6003 6035 6067 6099 5619 5651 5715 5683 6115 6147 6179 6211 6243 6275 6307 6339 6371 6403 6435 6467 19563 19595 19627 19659 19691 19723 19755 19787 19819 19851 19883 19915 19435 19467 19531 19499 19931 19963 19995 20027 20059 20091 20123 20155 20187 20219 20251 20283
5732 5764 5796 5828 5860 5892 5924 5956 5988 6020 6052 6084 5604 5636 5700 5668 6132 6164 6196 6228 6260 6292 6324 6356 6388 6420 6452 6484 19548 19580 19612 19644 19676 19708 19740 19772 19804 19836 19868 19900 19420 19452 19516 19484 19948 19980 20012 20044 20076 20108 20140 20172 20204 20236 20268 20300
5748 5780 5812 5844 5876 5908 5940 5972 6004 6036 6068 6100 5620 5652 5716 5684 6116 6148 6180 6212 6244 6276 6308 6340 6372 6404 6436 6468 19564 19596 19628 19660 19692 19724 19756 19788 19820 19852 19884 19916 19436 19468 19532 19500 19932 19964 19996 20028 20060 20092 20124 20156 20188 20220 20252 20284
5733 5765 5797 5829 5861 5893 5925 5957 5989 6021 6053 6085 5605 5637 5701 5669 6133 6165 6197 6229 6261 6293 6325 6357 6389 6421 6453 6485 19549 19581 19613 19645 19677 19709 19741 19773 19805 19837 19869 19901 19421 19453 19517 19485 19949 19981 20013 20045 20077 20109 20141 20173 20205 20237 20269 20301
5749 5781 5813 5845 5877 5909 5941 5973 6005 6037 6069 6101 5621 5653 5717 5685 6117 6149 6181 6213 6245 6277 6309 6341 6373 6405 6437 6469 19565 19597 19629 19661 19693 19725 19757 19789 19821 19853 19885 19917 19437 19469 19533 19501 19933 19965 19997 20029 20061 20093 20125 20157 20189 20221 20253 20285
5734 5766 5798 5830 5862 5894 5926 5958 5990 6022 6054 6086 5606 5638 5702 5670 6134 6166 6198 6230 6262 6294 6326 6358 6390 6422 6454 6486 19550 19582 19614 19646 19678 19710 19742 19774 19806 19838 19870 19902 19422 19454 19518 19486 19950 19982 20014 20046 20078 20110 20142 20174 20206 20238 20270 20302
5750 5782 5814 5846 5878 5910 5942 5974 6006 6038 6070 6102 5622 5654 5718 5686 6118 6150 6182 6214 6246 6278 6310 6342 6374 6406 6438 6470 19566 19598 19630 19662 19694 19726 19758 19790 19822 19854 19886 19918 19438 19470 19534 19502 19934 19966 19998 20030 20062 20094 20126 20158 20190 20222 20254 20286
5735 5767 5799 5831 5863 5895 5927 5959 5991 6023 6055 6087 5607 5639 5703 5671 6135 6167 6199 6231 6263 6295 6327 6359 6391 6423 6455 6487 19551 19583 19615 19647 19679 19711 19743 19775 19807 19839 19871 19903 19423 19455 19519 19487 19951 19983 20015 20047 20079 20111 20143 20175 20207 20239 20271 20303
5751 5783 5815 5847 5879 5911 5943 5975 6007 6039 6071 6103 5623 5655 5719 5687 6119 6151 6183 6215 6247 6279 6311 6343 6375 6407 6439 6471 19567 19599 19631 19663 19695 19727 19759 19791 19823 19855 19887 19919 19439 19471 19535 19503 19935 19967 19999 20031 20063 20095 20127 20159 20191 20223 20255 20287
5736 5768 5800 5832 5864 5896 5928 5960 5992 6024 6056 6088 5608 5640 5704 5672 6136 6168 6200 6232 6264 6296 6328 6360 6392 6424 6456 6488 19552 19584 19616 19648 19680 19712 19744 19776 19808 19840 19872 19904 19424 19456 19520 19488 19952 19984 20016 20048 20080 20112 20144 20176 20208 20240 20272 20304
5752 5784 5816 5848 5880 5912 5944 5976 6008 6040 6072 6104 5624 5656 5720 5688 6120 6152 6184 6216 6248 6280 6312 6344 6376 6408 6440 6472 19568 19600 19632 19664 19696 19728 19760 19792 19824 19856 19888 19920 19440 19472 19536 19504 19936 19968 20000 20032 20064 20096 20128 20160 20192 20224 20256 20288
5737 5769 5801 5833 5865 5897 5929 5961 5993 6025 6057 6089 5609 5641 5705 5673 6137 6169 6201 6233 6265 6297 6329 6361 6393 6425 6457 6489 19553 19585 19617 19649 19681 19713 19745 19777 19809 19841 19873 19905 19425 19457 19521 19489 19953 19985 20017 20049 20081 20113 20145 20177 20209 20241 20273 20305
5753 5785 5817 5849 5881 5913 5945 5977 6009 6041 6073 6105 5625 5657 5721 5689 6121 6153 6185 6217 6249 6281 6313 6345 6377 6409 6441 6473 19569 19601 19633 19665 19697 19729 19761 19793 19825 19857 19889 19921 19441 19473 19537 19505 19937 19969 20001 20033 20065 20097 20129 20161 20193 20225 20257 20289
5738 5770 5802 5834 5866 5898 5930 5962 5994 6026 6058 6090 5610 5642 5706 5674 6138 6170 6202 6234 6266 6298 6330 6362 6394 6426 6458 6490 19554 19586 19618 19650 19682 19714 19746 19778 19810 19842 19874 19906 19426 19458 19522 19490 19954 19986 20018 20050 20082 20114 20146 20178 20210 20242 20274 20306
5754 5786 5818 5850 5882 5914 5946 5978 6010 6042 6074 6106 5626 5658 5722 5690 6122 6154 6186 6218 6250 6282 6314 6346 6378 6410 6442 6474 19570 19602 19634 19666 19698 19730 19762 19794 19826 19858 19890 19922 19442 19474 19538 19506 19938 19970 20002 20034 20066 20098 20130 20162 20194 20226 20258 20290
5739 5771 5803 5835 5867 5899 5931 5963 5995 6027 6059 6091 5611 5643 5707 5675 6139 6171 6203 6235 6267 6299 6331 6363 6395 6427 6459 6491 19555 19587 19619 19651 19683 19715 19747 19779 19811 19843 19875 19907 19427 19459 19523 19491 19955 19987 20019 20051 20083 20115 20147 20179 20211 20243 20275 20307
5755 5787 5819 5851 5883 5915 5947 5979 6011 6043 6075 6107 5627 5659 5723 5691 6123 6155 6187 6219 6251 6283 6315 6347 6379 6411 6443 6475 19571 19603 19635 19667 19699 19731 19763 19795 19827 19859 19891 19923 19443 19475 19539 19507 19939 19971 20003 20035 20067 20099 20131 20163 20195 20227 20259 20291
5740 5772 5804 5836 5868 5900 5932 5964 5996 6028 6060 6092 5612 5644 5708 5676 6140 6172 6204 6236 6268 6300 6332 6364 6396 6428 6460 6492 19556 19588 19620 19652 19684 19716 19748 19780 19812 19844 19876 19908 19428 19460 19524 19492 19956 19988 20020 20052 20084 20116 20148 20180 20212 20244 20276 20308
5756 5788 5820 5852 5884 5916 5948 5980 6012 6044 6076 6108 5628 5660 5724 5692 6124 6156 6188 6220 6252 6284 6316 6348 6380 6412 6444 6476 19572 19604 19636 19668 19700 19732 19764 19796 19828 19860 19892 19924 19444 19476 19540 19508 19940 19972 20004 20036 20068 20100 20132 20164 20196 20228 20260 20292
5741 5773 5805 5837 5869 5901 5933 5965 5997 6029 6061 6093 5613 5645 5709 5677 6141 6173 6205 6237 6269 6301 6333 6365 6397 6429 6461 6493 19557 19589 19621 19653 19685 19717 19749 19781 19813 19845 19877 19909 19429 19461 19525 19493 19957 19989 20021 20053 20085 20117 20149 20181 20213 20245 20277 20309
5757 5789 5821 5853 5885 5917 5949 5981 6013 6045 6077 6109 5629 5661 5725 5693 6125 6157 6189 6221 6253 6285 6317 6349 6381 6413 6445 6477 19573 19605 19637 19669 19701 19733 19765 19797 19829 19861 19893 19925 19445 19477 19541 19509 19941 19973 20005 20037 20069 20101 20133 20165 20197 20229 20261 20293
5742 5774 5806 5838 5870 5902 5934 5966 5998 6030 6062 6094 5614 5646 5710 5678 6142 6174 6206 6238 6270 6302 6334 6366 6398 6430 6462 6494 19558 19590 19622 19654 19686 19718 19750 19782 19814 19846 19878 19910 19430 19462 19526 19494 19958 19990 20022 20054 20086 20118 20150 20182 20214 20246 20278 20310
5758 5790 5822 5854 5886 5918 5950 5982 6014 6046 6078 6110 5630 5662 5726 5694 6126 6158 6190 6222 6254 6286 6318 6350 6382 6414 6446 6478 19574 19606 19638 19670 19702 19734 19766 19798 19830 19862 19894 19926 19446 19478 19542 19510 19942 19974 20006 20038 20070 20102 20134 20166 20198 20230 20262 20294
5743 5775 5807 5839 5871 5903 5935 5967 5999 6031 6063 6095 5615 5647 5711 5679 6143 6175 6207 6239 6271 6303 6335 6367 6399 6431 6463 6495 19559 19591 19623 19655 19687 19719 19751 19783 19815 19847 19879 19911 19431 19463 19527 19495 19959 19991 20023 20055 20087 20119 20151 20183 20215 20247 20279 20311
5759 5791 5823 5855 5887 5919 5951 5983 6015 6047 6079 6111 5631 5663 5727 5695 6127 6159 6191 6223 6255 6287 6319 6351 6383 6415 6447 6479 19575 19607 19639 19671 19703 19735 19767 19799 19831 19863 19895 19927 19447 19479 19543 19511 19943 19975 20007 20039 20071 20103 20135 20167 20199 20231 20263 20295
1121 1123 1125 1127 1129 1131 1133 1135 1137 1139 1141 1143 1145 1147 1149 1151 1153 1155 1157 1159 1161 1163 1165 1167 1169 1171 1173 1175 1177 1179 1181 1183 1185 1187 1189 1191 1193 1195 1197 1199 14937 14939 14941 14943 14945 14947 14949 14951 14953 14955 14957 14959 14961 14963 14965 14967 14969 14971 14973 14975 14977 14979 14981 14983 14985 14987 14989 14991 14993 14995 14997 14999 15001 15003 15005 15007 15009 15011 15013 15015
1120 1122 1124 1126 1128 1130 1132 1134 1136 1138 1140 1142 1144 1146 1148 1150 1152 1154 1156 1158 1160 1162 1164 1166 1168 1170 1172 1174 1176 1178 1180 1182 1184 1186 1188 1190 1192 1194 1196 1198 14936 14938 14940 14942 14944 14946 14948 14950 14952 14954 14956 14958 14960 14962 14964 14966 14968 14970 14972 14974 14976 14978 14980 14982 14984 14986 14988 14990 14992 14994 14996 14998 15000 15002 15004 15006 15008 15010 15012 15014
1201 1203 1205 1207 1209 1211 1213 1215 1217 1219 1221 1223 1225 1227 1229 1231 1233 1235 1237 1239 1241 1243 1245 1247 1249 1251 1253 1255 1257 1259 1261 1263 1265 1267 1269 1271 1273 1275 1277 1279 15017 15019 15021 15023 15025 15027 15029 15031 15033 15035 15037 15039 15041 15043 15045 15047 15049 15051 15053 15055 15057 15059 15061 15063 15065 15067 15069 15071 15073 15075 15077 15079 15081 15083 15085 15087 15089 15091 15093 15095
1200 1202 1204 1206 1208 1210 1212 1214 1216 1218 1220 1222 1224 1226 1228 1230 1232 1234 1236 1238 1240 1242 1244 1246 1248 1250 1252 1254 1256 1258 1260 1262 1264 1266 1268 1270 1272 1274 1276 1278 15016 15018 15020 15022 15024 15026 15028 15030 15032 15034 15036 15038 15040 15042 15044 15046 15048 15050 15052 15054 15056 15058 15060 15062 15064 15066 15068 15070 15072 15074 15076 15078 15080 15082 15084 15086 15088 15090 15092 15094
1281 1283 1285 1287 1289 1291 1293 1295 1297 1299 1301 1303 1305 1307 1309 1311 1313 1315 1317 1319 1321 1323 1325 1327 1329 1331 1333 1335 1337 1339 1341 1343 1345 1347 1349 1351 1353 1355 1357 1359 15097 15099 15101 15103 15105 15107 15109 15111 15113 15115 15117 15119 15121 15123 15125 15127 15129 15131 15133 15135 15137 15139 15141 15143 15145 15147 15149 15151 15153 15155 15157 15159 15161 15163 15165 15167 15169 15171 15173 15175
1280 1282 1284 1286 1288 1290 1292 1294 1296 1298 1300 1302 1304 1306 1308 1310 1312 1314 1316 1318 1320 1322 1324 1326 1328 1330 1332 1334 1336 1338 1340 1342 1344 1346 1348 1350 1352 1354 1356 1358 15096 15098 15100 15102 15104 15106 15108 15110 15112 15114 15116 15118 15120 15122 15124 15126 15128 15130 15132 15134 15136 15138 15140 15142 15144 15146 15148 15150 15152 15154 15156 15158 15160 15162 15164 15166 15168 15170 15172 15174
1361 1363 1365 1367 1369 1371 1373 1375 1377 1379 1381 1383 1385 1387 1389 1391 1393 1395 1397 1399 1401 1403 1405 1407 1409 1411 1413 1415 1417 1419 1421 1423 1425 1427 1429 1431 1433 1435 1437 1439 15177 15179 15181 15183 15185 15187 15189 15191 15193 15195 15197 15199 15201 15203 15205 15207 15209 15211 15213 15215 15217 15219 15221 15223 15225 15227 15229 15231 15233 15235 15237 15239 15241 15243 15245 15247 15249 15251 15253 15255
1360 1362 1364 1366 1368 1370 1372 1374 1376 1378 1380 1382 1384 1386 1388 1390 1392 1394 1396 1398 1400 1402 1404 1406 1408 1410 1412 1414 1416 1418 1420 1422 1424 1426 1428 1430 1432 1434 1436 1438 15176 15178 15180 15182 15184 15186 15188 15190 15192 15194 15196 15198 15200 15202 15204 15206 15208 15210 15212 15214 15216 15218 15220 15222 15224 15226 15228 15230 15232 15234 15236 15238 15240 15242 15244 15246 15248 15250 15252 15254
1441 1443 1445 1447 1449 1451 1453 1455 1457 1459 1461 1463 1465 1467 1469 1471 1473 1475 1477 1479 1481 1483 1485 1487 1489 1491 1493 1495 1497 1499 1501 1503 1505 1507 1509 1511 1513 1515 1517 1519 15257 15259 15261 15263 15265 15267 15269 15271 15273 15275 15277 15279 15281 15283 15285 15287 15289 15291 15293 15295 15297 15299 15301 15303 15305 15307 15309 15311 15313 15315 15317 15319 15321 15323 15325 15327 15329 15331 15333 15335
1440 1442 1444 1446 1448 1450 1452 1454 1456 1458 1460 1462 1464 1466 1468 1470 1472 1474 1476 1478 1480 1482 1484 1486 1488 1490 1492 1494 1496 1498 1500 1502 1504 1506 1508 1510 1512 1514 1516 1518 15256 15258 15260 15262 15264 15266 15268 15270 15272 15274 15276 15278 15280 15282 15284 15286 15288 15290 15292 15294 15296 15298 15300 15302 15304 15306 15308 15310 15312 15314 15316 15318 15320 15322 15324 15326 15328 15330 15332 15334
1521 1523 1525 1527 1529 1531 1533 1535 1537 1539 1541 1543 1545 1547 1549 1551 1553 1555 1557 1559 1561 1563 1565 1567 1569 1571 1573 1575 1577 1579 1581 1583 1585 1587 1589 1591 1593 1595 1597 1599 15337 15339 15341 15343 15345 15347 15349 15351 15353 15355 15357 15359 15361 15363 15365 15367 15369 15371 15373 15375 15377 15379 15381 15383 15385 15387 15389 15391 15393 15395 15397 15399 15401 15403 15405 15407 15409 15411 15413 15415
1520 1522 1524 1526 1528 1530 1532 1534 1536 1538 1540 1542 1544 1546 1548 1550 1552 1554 1556 1558 1560 1562 1564 1566 1568 1570 1572 1574 1576 1578 1580 1582 1584 1586 1588 1590 1592 1594 1596 1598 15336 15338 15340 15342 15344 15346 15348 15350 15352 15354 15356 15358 15360 15362 15364 15366 15368 15370 15372 15374 15376 15378 15380 15382 15384 15386 15388 15390 15392 15394 15396 15398 15400 15402 15404 15406 15408 15410 15412 15414
1601 1603 1605 1607 1609 1611 1613 1615 1617 1619 1621 1623 1625 1627 1629 1631 1633 1635 1637 1639 1641 1643 1645 1647 1649 1651 1653 1655 1657 1659 1661 1663 1665 1667 1669 1671 1673 1675 1677 1679 15417 15419 15421 15423 15425 15427 15429 15431 15433 15435 15437 15439 15441 15443 15445 15447 15449 15451 15453 15455 15457 15459 15461 15463 15465 15467 15469 15471 15473 15475 15477 15479 15481 15483 15485 15487 15489 15491 15493 15495
1600 1602 1604 1606 1608 1610 1612 1614 1616 1618 1620 1622 1624 1626 1628 1630 1632 1634 1636 1638 1640 1642 1644 1646 1648 1650 1652 1654 1656 1658 1660 1662 1664 1666 1668 1670 1672 1674 1676 1678 15416 15418 15420 15422 15424 15426 15428 15430 15432 15434 15436 15438 15440 15442 15444 15446 15448 15450 15452 15454 15456 15458 15460 15462 15464 15466 15468 15470 15472 15474 15476 15478 15480 15482 15484 15486 15488 15490 15492 15494
1681 1683 1685 1687 1689 1691 1693 1695 1697 1699 1701 1703 1705 1707 1709 1711 1713 1715 1717 1719 1721 1723 1725 1727 1729 1731 1733 1735 1737 1739 1741 1743 1745 1747 1749 1751 1753 1755 1757 1759 15497 15499 15501 15503 15505 15507 15509 15511 15513 15515 15517 15519 15521 15523 15525 15527 15529 15531 15533 15535 15537 15539 15541 15543 15545 15547 15549 15551 15553 15555 15557 15559 15561 15563 15565 15567 15569 15571 15573 15575
1680 1682 1684 1686 1688 1690 1692 1694 1696 1698 1700 1702 1704 1706 1708 1710 1712 1714 1716 1718 1720 1722 1724 1726 1728 1730 1732 1734 1736 1738 1740 1742 1744 1746 1748 1750 1752 1754 1756 1758 15496 15498 15500 15502 15504 15506 15508 15510 15512 15514 15516 15518 15520 15522 15524 15526 15528 15530 15532 15534 15536 15538 15540 15542 15544 15546 15548 15550 15552 15554 15556 15558 15560 15562 15564 15566 15568 15570 15572 15574
1761 1763 1765 1767 1769 1771 1773 1775 1777 1779 1781 1783 1785 1787 1789 1791 1793 1795 1797 1799 1801 1803 1805 1807 1809 1811 1813 1815 1817 1819 1821 1823 1825 1827 1829 1831 1833 1835 1837 1839 15577 15579 15581 15583 15585 15587 15589 15591 15593 15595 15597 15599 15601 15603 15605 15607 15609 15611 15613 15615 15617 15619 15621 15623 15625 15627 15629 15631 15633 15635 15637 15639 15641 15643 15645 15647 15649 15651 15653 15655
1760 1762 1764 1766 1768 1770 1772 1774 1776 1778 1780 1782 1784 1786 1788 1790 1792 1794 1796 1798 1800 1802 1804 1806 1808 1810 1812 1814 1816 1818 1820 1822 1824 1826 1828 1830 1832 1834 1836 1838 15576 15578 15580 15582 15584 15586 15588 15590 15592 15594 15596 15598 15600 15602 15604 15606 15608 15610 15612 15614 15616 15618 15620 15622 15624 15626 15628 15630 15632 15634 15636 15638 15640 15642 15644 15646 15648 15650 15652 15654
1841 1843 1845 1847 1849 1851 1853 1855 1857 1859 1861 1863 1865 1867 1869 1871 1873 1875 1877 1879 1881 1883 1885 1887 1889 1891 1893 1895 1897 1899 1901 1903 1905 1907 1909 1911 1913 1915 1917 1919 15657 15659 15661 15663 15665 15667 15669 15671 15673 15675 15677 15679 15681 15683 15685 15687 15689 15691 15693 15695 15697 15699 15701 15703 15705 15707 15709 15711 15713 15715 15717 15719 15721 15723 15725 15727 15729 15731 15733 15735
1840 1842 1844 1846 1848 1850 1852 1854 1856 1858 1860 1862 1864 1866 1868 1870 1872 1874 1876 1878 1880 1882 1884 1886 1888 1890 1892 1894 1896 1898 1900 1902 1904 1906 1908 1910 1912 1914 1916 1918 15656 15658 15660 15662 15664 15666 15668 15670 15672 15674 15676 15678 15680 15682 15684 15686 15688 15690 15692 15694 15696 15698 15700 15702 15704 15706 15708 15710 15712 15714 15716 15718 15720 15722 15724 15726 15728 15730 15732 15734
1921 1923 1925 1927 1929 1931 1933 1935 1937 1939 1941 1943 1945 1947 1949 1951 1953 1955 1957 1959 1961 1963 1965 1967 1969 1971 1973 1975 1977 1979 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 15737 15739 15741 15743 15745 15747 15749 15751 15753 15755 15757 15759 15761 15763 15765 15767 15769 15771 15773 15775 15777 15779 15781 15783 15785 15787 15789 15791 15793 15795 15797 15799 15801 15803 15805 15807 15809 15811 15813 15815
1920 1922 1924 1926 1928 1930 1932 1934 1936 1938 1940 1942 1944 1946 1948 1950 1952 1954 1956 1958 1960 1962 1964 1966 1968 1970 1972 1974 1976 1978 1980 1982 1984 1986 1988 1990 1992 1994 1996 1998 15736 15738 15740 15742 15744 15746 15748 15750 15752 15754 15756 15758 15760 15762 15764 15766 15768 15770 15772 15774 15776 15778 15780 15782 15784 15786 15788 15790 15792 15794 15796 15798 15800 15802 15804 15806 15808 15810 15812 15814
5521 5523 5525 5527 5529 5531 5533 5535 5537 5539 5541 5543 5545 5547 5549 5551 5553 5555 5557 5559 5561 5563 5565 5567 5569 5571 5573 5575 5577 5579 5581 5583 5585 5587 5589 5591 5593 5595 5597 5599 19337 19339 19341 19343 19345 19347 19349 19351 19353 19355 19357 19359 19361 19363 19365 19367 19369 19371 19373 19375 19377 19379 19381 19383 19385 19387 19389 19391 19393 19395 19397 19399 19401 19403 19405 19407 19409 19411 19413 19415
5520 5522 5524 5526 5528 5530 5532 5534 5536 5538 5540 5542 5544 5546 5548 5550 5552 5554 5556 5558 5560 5562 5564 5566 5568 5570 5572 5574 5576 5578 5580 5582 5584 5586 5588 5590 5592 5594 5596 5598 19336 19338 19340 19342 19344 19346 19348 19350 19352 19354 19356 19358 19360 19362 19364 19366 19368 19370 19372 19374 19376 19378 19380 19382 19384 19386 19388 19390 19392 19394 19396 19398 19400 19402 19404 19406 19408 19410 19412 19414
5441 5443 5445 5447 5449 5451 5453 5455 5457 5459 5461 5463 5465 5467 5469 5471 5473 5475 5477 5479 5481 5483 5485 5487 5489 5491 5493 5495 5497 5499 5501 5503 5505 5507 5509 5511 5513 5515 5517 5519 19257 19259 19261 19263 19265 19267 19269 19271 19273 19275 19277 19279 19281 19283 19285 19287 19289 19291 19293 19295 19297 19299 19301 19303 19305 19307 19309 19311 19313 19315 19317 19319 19321 19323 19325 19327 19329 19331 19333 19335
5440 5442 5444 5446 5448 5450 5452 5454 5456 5458 5460 5462 5464 5466 5468 5470 5472 5474 5476 5478 5480 5482 5484 5486 5488 5490 5492 5494 5496 5498 5500 5502 5504 5506 5508 5510 5512 5514 5516 5518 19256 19258 19260 19262 19264 19266 19268 19270 19272 19274 19276 19278 19280 19282 19284 19286 19288 19290 19292 19294 19296 19298 19300 19302 19304 19306 19308 19310 19312 19314 19316 19318 19320 19322 19324 19326 19328 19330 19332 19334
5361 5363 5365 5367 5369 5371 5373 5375 5377 5379 5381 5383 5385 5387 5389 5391 5393 5395 5397 5399 5401 5403 5405 5407 5409 5411 5413 5415 5417 5419 5421 5423 5425 5427 5429 5431 5433 5435 5437 5439 19177 19179 19181 19183 19185 19187 19189 19191 19193 19195 19197 19199 19201 19203 19205 19207 19209 19211 19213 19215 19217 19219 19221 19223 19225 19227 19229 19231 19233 19235 19237 19239 19241 19243 19245 19247 19249 19251 19253 19255
5360 5362 5364 5366 5368 5370 5372 5374 5376 5378 5380 5382 5384 5386 5388 5390 5392 5394 5396 5398 5400 5402 5404 5406 5408 5410 5412 5414 5416 5418 5420 5422 5424 5426 5428 5430 5432 5434 5436 5438 19176 19178 19180 19182 19184 19186 19188 19190 19192 19194 19196 19198 19200 19202 19204 19206 19208 19210 19212 19214 19216 19218 19220 19222 19224 19226 19228 19230 19232 19234 19236 19238 19240 19242 19244 19246 19248 19250 19252 19254
2001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 2029 2031 2033 2035 2037 2039 2041 2043 2045 2047 2049 2051 2053 2055 2057 2059 2061 2063 2065 2067 2069 2071 2073 2075 2077 2079 15817 15819 15821 15823 15825 15827 15829 15831 15833 15835 15837 15839 15841 15843 15845 15847 15849 15851 15853 15855 15857 15859 15861 15863 15865 15867 15869 15871 15873 15875 15877 15879 15881 15883 15885 15887 15889 15891 15893 15895
2000 2002 2004 2006 2008 2010 2012 2014 2016 2018 2020 2022 2024 2026 2028 2030 2032 2034 2036 2038 2040 2042 2044 2046 2048 2050 2052 2054 2056 2058 2060 2062 2064 2066 2068 2070 2072 2074 2076 2078 15816 15818 15820 15822 15824 15826 15828 15830 15832 15834 15836 15838 15840 15842 15844 15846 15848 15850 15852 15854 15856 15858 15860 15862 15864 15866 15868 15870 15872 15874 15876 15878 15880 15882 15884 15886 15888 15890 15892 15894
2081 2083 2085 2087 2089 2091 2093 2095 2097 2099 2101 2103 2105 2107 2109 2111 2113 2115 2117 2119 2121 2123 2125 2127 2129 2131 2133 2135 2137 2139 2141 2143 2145 2147 2149 2151 2153 2155 2157 2159 15897 15899 15901 15903 15905 15907 15909 15911 15913 15915 15917 15919 15921 15923 15925 15927 15929 15931 15933 15935 15937 15939 15941 15943 15945 15947 15949 15951 15953 15955 15957 15959 15961 15963 15965 15967 15969 15971 15973 15975
2080 2082 2084 2086 2088 2090 2092 2094 2096 2098 2100 2102 2104 2106 2108 2110 2112 2114 2116 2118 2120 2122 2124 2126 2128 2130 2132 2134 2136 2138 2140 2142 2144 2146 2148 2150 2152 2154 2156 2158 15896 15898 15900 15902 15904 15906 15908 15910 15912 15914 15916 15918 15920 15922 15924 15926 15928 15930 15932 15934 15936 15938 15940 15942 15944 15946 15948 15950 15952 15954 15956 15958 15960 15962 15964 15966 15968 15970 15972 15974
2161 2163 2165 2167 2169 2171 2173 2175 2177 2179 2181 2183 2185 2187 2189 2191 2193 2195 2197 2199 2201 2203 2205 2207 2209 2211 2213 2215 2217 2219 2221 2223 2225 2227 2229 2231 2233 2235 2237 2239 15977 15979 15981 15983 15985 15987 15989 15991 15993 15995 15997 15999 16001 16003 16005 16007 16009 16011 16013 16015 16017 16019 16021 16023 16025 16027 16029 16031 16033 16035 16037 16039 16041 16043 16045 16047 16049 16051 16053 16055
2160 2162 2164 2166 2168 2170 2172 2174 2176 2178 2180 2182 2184 2186 2188 2190 2192 2194 2196 2198 2200 2202 2204 2206 2208 2210 2212 2214 2216 2218 2220 2222 2224 2226 2228 2230 2232 2234 2236 2238 15976 15978 15980 15982 15984 15986 15988 15990 15992 15994 15996 15998 16000 16002 16004 16006 16008 16010 16012 16014 16016 16018 16020 16022 16024 16026 16028 16030 16032 16034 16036 16038 16040 16042 16044 16046 16048 16050 16052 16054
5281 5283 5285 5287 5289 5291 5293 5295 5297 5299 5301 5303 5305 5307 5309 5311 5313 5315 5317 5319 5321 5323 5325 5327 5329 5331 5333 5335 5337 5339 5341 5343 5345 5347 5349 5351 5353 5355 5357 5359 19097 19099 19101 19103 19105 19107 19109 19111 19113 19115 19117 19119 19121 19123 19125 19127 19129 19131 19133 19135 19137 19139 19141 19143 19145 19147 19149 19151 19153 19155 19157 19159 19161 19163 19165 19167 19169 19171 19173 19175
5280 5282 5284 5286 5288 5290 5292 5294 5296 5298 5300 5302 5304 5306 5308 5310 5312 5314 5316 5318 5320 5322 5324 5326 5328 5330 5332 5334 5336 5338 5340 5342 5344 5346 5348 5350 5352 5354 5356 5358 19096 19098 19100 19102 19104 19106 19108 19110 19112 19114 19116 19118 19120 19122 19124 19126 19128 19130 19132 19134 19136 19138 19140 19142 19144 19146 19148 19150 19152 19154 19156 19158 19160 19162 19164 19166 19168 19170 19172 19174
5201 5203 5205 5207 5209 5211 5213 5215 5217 5219 5221 5223 5225 5227 5229 5231 5233 5235 5237 5239 5241 5243 5245 5247 5249 5251 5253 5255 5257 5259 5261 5263 5265 5267 5269 5271 5273 5275 5277 5279 19017 19019 19021 19023 19025 19027 19029 19031 19033 19035 19037 19039 19041 19043 19045 19047 19049 19051 19053 19055 19057 19059 19061 19063 19065 19067 19069 19071 19073 19075 19077 19079 19081 19083 19085 19087 19089 19091 19093 19095
5200 5202 5204 5206 5208 5210 5212 5214 5216 5218 5220 5222 5224 5226 5228 5230 5232 5234 5236 5238 5240 5242 5244 5246 5248 5250 5252 5254 5256 5258 5260 5262 5264 5266 5268 5270 5272 5274 5276 5278 19016 19018 19020 19022 19024 19026 19028 19030 19032 19034 19036 19038 19040 19042 19044 19046 19048 19050 19052 19054 19056 19058 19060 19062 19064 19066 19068 19070 19072 19074 19076 19078 19080 19082 19084 19086 19088 19090 19092 19094
5121 5123 5125 5127 5129 5131 5133 5135 5137 5139 5141 5143 5145 5147 5149 5151 5153 5155 5157 5159 5161 5163 5165 5167 5169 5171 5173 5175 5177 5179 5181 5183 5185 5187 5189 5191 5193 5195 5197 5199 18937 18939 18941 18943 18945 18947 18949 18951 18953 18955 18957 18959 18961 18963 18965 18967 18969 18971 18973 18975 18977 18979 18981 18983 18985 18987 18989 18991 18993 18995 18997 18999 19001 19003 19005 19007 19009 19011 19013 19015
5120 5122 5124 5126 5128 5130 5132 5134 5136 5138 5140 5142 5144 5146 5148 5150 5152 5154 5156 5158 5160 5162 5164 5166 5168 5170 5172 5174 5176 5178 5180 5182 5184 5186 5188 5190 5192 5194 5196 5198 18936 18938 18940 18942 18944 18946 18948 18950 18952 18954 18956 18958 18960 18962 18964 18966 18968 18970 18972 18974 18976 18978 18980 18982 18984 18986 18988 18990 18992 18994 18996 18998 19000 19002 19004 19006 19008 19010 19012 19014
2241 2243 2245 2247 2249 2251 2253 2255 2257 2259 2261 2263 2265 2267 2269 2271 2273 2275 2277 2279 2281 2283 2285 2287 2289 2291 2293 2295 2297 2299 2301 2303 2305 2307 2309 2311 2313 2315 2317 2319 16057 16059 16061 16063 16065 16067 16069 16071 16073 16075 16077 16079 16081 16083 16085 16087 16089 16091 16093 16095 16097 16099 16101 16103 16105 16107 16109 16111 16113 16115 16117 16119 16121 16123 16125 16127 16129 16131 16133 16135
2240 2242 2244 2246 2248 2250 2252 2254 2256 2258 2260 2262 2264 2266 2268 2270 2272 2274 2276 2278 2280 2282 2284 2286 2288 2290 2292 2294 2296 2298 2300 2302 2304 2306 2308 2310 2312 2314 2316 2318 16056 16058 16060 16062 16064 16066 16068 16070 16072 16074 16076 16078 16080 16082 16084 16086 16088 16090 16092 16094 16096 16098 16100 16102 16104 16106 16108 16110 16112 16114 16116 16118 16120 16122 16124 16126 16128 16130 16132 16134
2321 2323 2325 2327 2329 2331 2333 2335 2337 2339 2341 2343 2345 2347 2349 2351 2353 2355 2357 2359 2361 2363 2365 2367 2369 2371 2373 2375 2377 2379 2381 2383 2385 2387 2389 2391 2393 2395 2397 2399 16137 16139 16141 16143 16145 16147 16149 16151 16153 16155 16157 16159 16161 16163 16165 16167 16169 16171 16173 16175 16177 16179 16181 16183 16185 16187 16189 16191 16193 16195 16197 16199 16201 16203 16205 16207 16209 16211 16213 16215
2320 2322 2324 2326 2328 2330 2332 2334 2336 2338 2340 2342 2344 2346 2348 2350 2352 2354 2356 2358 2360 2362 2364 2366 2368 2370 2372 2374 2376 2378 2380 2382 2384 2386 2388 2390 2392 2394 2396 2398 16136 16138 16140 16142 16144 16146 16148 16150 16152 16154 16156 16158 16160 16162 16164 16166 16168 16170 16172 16174 16176 16178 16180 16182 16184 16186 16188 16190 16192 16194 16196 16198 16200 16202 16204 16206 16208 16210 16212 16214
2401 2403 2405 2407 2409 2411 2413 2415 2417 2419 2421 2423 2425 2427 2429 2431 2433 2435 2437 2439 2441 2443 2445 2447 2449 2451 2453 2455 2457 2459 2461 2463 2465 2467 2469 2471 2473 2475 2477 2479 16217 16219 16221 16223 16225 16227 16229 16231 16233 16235 16237 16239 16241 16243 16245 16247 16249 16251 16253 16255 16257 16259 16261 16263 16265 16267 16269 16271 16273 16275 16277 16279 16281 16283 16285 16287 16289 16291 16293 16295
2400 2402 2404 2406 2408 2410 2412 2414 2416 2418 2420 2422 2424 2426 2428 2430 2432 2434 2436 2438 2440 2442 2444 2446 2448 2450 2452 2454 2456 2458 2460 2462 2464 2466 2468 2470 2472 2474 2476 2478 16216 16218 16220 16222 16224 16226 16228 16230 16232 16234 16236 16238 16240 16242 16244 16246 16248 16250 16252 16254 16256 16258 16260 16262 16264 16266 16268 16270 16272 16274 16276 16278 16280 16282 16284 16286 16288 16290 16292 16294
5041 5043 5045 5047 5049 5051 5053 5055 5057 5059 5061 5063 5065 5067 5069 5071 5073 5075 5077 5079 5081 5083 5085 5087 5089 5091 5093 5095 5097 5099 5101 5103 5105 5107 5109 5111 5113 5115 5117 5119 18857 18859 18861 18863 18865 18867 18869 18871 18873 18875 18877 18879 18881 18883 18885 18887 18889 18891 18893 18895 18897 18899 18901 18903 18905 18907 18909 18911 18913 18915 18917 18919 18921 18923 18925 18927 18929 18931 18933 18935
5040 5042 5044 5046 5048 5050 5052 5054 5056 5058 5060 5062 5064 5066 5068 5070 5072 5074 5076 5078 5080 5082 5084 5086 5088 5090 5092 5094 5096 5098 5100 5102 5104 5106 5108 5110 5112 5114 5116 5118 18856 18858 18860 18862 18864 18866 18868 18870 18872 18874 18876 18878 18880 18882 18884 18886 18888 18890 18892 18894 18896 18898 18900 18902 18904 18906 18908 18910 18912 18914 18916 18918 18920 18922 18924 18926 18928 18930 18932 18934
4961 4963 4965 4967 4969 4971 4973 4975 4977 4979 4981 4983 4985 4987 4989 4991 4993 4995 4997 4999 5001 5003 5005 5007 5009 5011 5013 5015 5017 5019 5021 5023 5025 5027 5029 5031 5033 5035 5037 5039 18777 18779 18781 18783 18785 18787 18789 18791 18793 18795 18797 18799 18801 18803 18805 18807 18809 18811 18813 18815 18817 18819 18821 18823 18825 18827 18829 18831 18833 18835 18837 18839 18841 18843 18845 18847 18849 18851 18853 18855
4960 4962 4964 4966 4968 4970 4972 4974 4976 4978 4980 4982 4984 4986 4988 4990 4992 4994 4996 4998 5000 5002 5004 5006 5008 5010 5012 5014 5016 5018 5020 5022 5024 5026 5028 5030 5032 5034 5036 5038 18776 18778 18780 18782 18784 18786 18788 18790 18792 18794 18796 18798 18800 18802 18804 18806 18808 18810 18812 18814 18816 18818 18820 18822 18824 18826 18828 18830 18832 18834 18836 18838 18840 18842 18844 18846 18848 18850 18852 18854
4881 4883 4885 4887 4889 4891 4893 4895 4897 4899 4901 4903 4905 4907 4909 4911 4913 4915 4917 4919 4921 4923 4925 4927 4929 4931 4933 4935 4937 4939 4941 4943 4945 4947 4949 4951 4953 4955 4957 4959 18697 18699 18701 18703 18705 18707 18709 18711 18713 18715 18717 18719 18721 18723 18725 18727 18729 18731 18733 18735 18737 18739 18741 18743 18745 18747 18749 18751 18753 18755 18757 18759 18761 18763 18765 18767 18769 18771 18773 18775
4880 4882 4884 4886 4888 4890 4892 4894 4896 4898 4900 4902 4904 4906 4908 4910 4912 4914 4916 4918 4920 4922 4924 4926 4928 4930 4932 4934 4936 4938 4940 4942 4944 4946 4948 4950 4952 4954 4956 4958 18696 18698 18700 18702 18704 18706 18708 18710 18712 18714 18716 18718 18720 18722 18724 18726 18728 18730 18732 18734 18736 18738 18740 18742 18744 18746 18748 18750 18752 18754 18756 18758 18760 18762 18764 18766 18768 18770 18772 18774
2481 2483 2485 2487 2489 2491 2493 2495 2497 2499 2501 2503 2505 2507 2509 2511 2513 2515 2517 2519 2521 2523 2525 2527 2529 2531 2533 2535 2537 2539 2541 2543 2545 2547 2549 2551 2553 2555 2557 2559 16297 16299 16301 16303 16305 16307 16309 16311 16313 16315 16317 16319 16321 16323 16325 16327 16329 16331 16333 16335 16337 16339 16341 16343 16345 16347 16349 16351 16353 16355 16357 16359 16361 16363 16365 16367 16369 16371 16373 16375
2480 2482 2484 2486 2488 2490 2492 2494 2496 2498 2500 2502 2504 2506 2508 2510 2512 2514 2516 2518 2520 2522 2524 2526 2528 2530 2532 2534 2536 2538 2540 2542 2544 2546 2548 2550 2552 2554 2556 2558 16296 16298 16300 16302 16304 16306 16308 16310 16312 16314 16316 16318 16320 16322 16324 16326 16328 16330 16332 16334 16336 16338 16340 16342 16344 16346 16348 16350 16352 16354 16356 16358 16360 16362 16364 16366 16368 16370 16372 16374
2561 2563 2565 2567 2569 2571 2573 2575 2577 2579 2581 2583 2585 2587 2589 2591 2593 2595 2597 2599 2601 2603 2605 2607 2609 2611 2613 2615 2617 2619 2621 2623 2625 2627 2629 2631 2633 2635 2637 2639 16377 16379 16381 16383 16385 16387 16389 16391 16393 16395 16397 16399 16401 16403 16405 16407 16409 16411 16413 16415 16417 16419 16421 16423 16425 16427 16429 16431 16433 16435 16437 16439 16441 16443 16445 16447 16449 16451 16453 16455
2560 2562 2564 2566 2568 2570 2572 2574 2576 2578 2580 2582 2584 2586 2588 2590 2592 2594 2596 2598 2600 2602 2604 2606 2608 2610 2612 2614 2616 2618 2620 2622 2624 2626 2628 2630 2632 2634 2636 2638 16376 16378 16380 16382 16384 16386 16388 16390 16392 16394 16396 16398 16400 16402 16404 16406 16408 16410 16412 16414 16416 16418 16420 16422 16424 16426 16428 16430 16432 16434 16436 16438 16440 16442 16444 16446 16448 16450 16452 16454
2641 2643 2645 2647 2649 2651 2653 2655 2657 2659 2661 2663 2665 2667 2669 2671 2673 2675 2677 2679 2681 2683 2685 2687 2689 2691 2693 2695 2697 2699 2701 2703 2705 2707 2709 2711 2713 2715 2717 2719 16457 16459 16461 16463 16465 16467 16469 16471 16473 16475 16477 16479 16481 16483 16485 16487 16489 16491 16493 16495 16497 16499 16501 16503 16505 16507 16509 16511 16513 16515 16517 16519 16521 16523 16525 16527 16529 16531 16533 16535
2640 2642 2644 2646 2648 2650 2652 2654 2656 2658 2660 2662 2664 2666 2668 2670 2672 2674 2676 2678 2680 2682 2684 2686 2688 2690 2692 2694 2696 2698 2700 2702 2704 2706 2708 2710 2712 2714 2716 2718 16456 16458 16460 16462 16464 16466 16468 16470 16472 16474 16476 16478 16480 16482 16484 16486 16488 16490 16492 16494 16496 16498 16500 16502 16504 16506 16508 16510 16512 16514 16516 16518 16520 16522 16524 16526 16528 16530 16532 16534
4801 4803 4805 4807 4809 4811 4813 4815 4817 4819 4821 4823 4825 4827 4829 4831 4833 4835 4837 4839 4841 4843 4845 4847 4849 4851 4853 4855 4857 4859 4861 4863 4865 4867 4869 4871 4873 4875 4877 4879 18617 18619 18621 18623 18625 18627 18629 18631 18633 18635 18637 18639 18641 18643 18645 18647 18649 18651 18653 18655 18657 18659 18661 18663 18665 18667 18669 18671 18673 18675 18677 18679 18681 18683 18685 18687 18689 18691 18693 18695
4800 4802 4804 4806 4808 4810 4812 4814 4816 4818 4820 4822 4824 4826 4828 4830 4832 4834 4836 4838 4840 4842 4844 4846 4848 4850 4852 4854 4856 4858 4860 4862 4864 4866 4868 4870 4872 4874 4876 4878 18616 18618 18620 18622 18624 18626 18628 18630 18632 18634 18636 18638 18640 18642 18644 18646 18648 18650 18652 18654 18656 18658 18660 18662 18664 18666 18668 18670 18672 18674 18676 18678 18680 18682 18684 18686 18688 18690 18692 18694
4721 4723 4725 4727 4729 4731 4733 4735 4737 4739 4741 4743 4745 4747 4749 4751 4753 4755 4757 4759 4761 4763 4765 4767 4769 4771 4773 4775 4777 4779 4781 4783 4785 4787 4789 4791 4793 4795 4797 4799 18537 18539 18541 18543 18545 18547 18549 18551 18553 18555 18557 18559 18561 18563 18565 18567 18569 18571 18573 18575 18577 18579 18581 18583 18585 18587 18589 18591 18593 18595 18597 18599 18601 18603 18605 18607 18609 18611 18613 18615
4720 4722 4724 4726 4728 4730 4732 4734 4736 4738 4740 4742 4744 4746 4748 4750 4752 4754 4756 4758 4760 4762 4764 4766 4768 4770 4772 4774 4776 4778 4780 4782 4784 4786 4788 4790 4792 4794 4796 4798 18536 18538 18540 18542 18544 18546 18548 18550 18552 18554 18556 18558 18560 18562 18564 18566 18568 18570 18572 18574 18576 18578 18580 18582 18584 18586 18588 18590 18592 18594 18596 18598 18600 18602 18604 18606 18608 18610 18612 18614
4641 4643 4645 4647 4649 4651 4653 4655 4657 4659 4661 4663 4665 4667 4669 4671 4673 4675 4677 4679 4681 4683 4685 4687 4689 4691 4693 4695 4697 4699 4701 4703 4705 4707 4709 4711 4713 4715 4717 4719 18457 18459 18461 18463 18465 18467 18469 18471 18473 18475 18477 18479 18481 18483 18485 18487 18489 18491 18493 18495 18497 18499 18501 18503 18505 18507 18509 18511 18513 18515 18517 18519 18521 18523 18525 18527 18529 18531 18533 18535
4640 4642 4644 4646 4648 4650 4652 4654 4656 4658 4660 4662 4664 4666 4668 4670 4672 4674 4676 4678 4680 4682 4684 4686 4688 4690 4692 4694 4696 4698 4700 4702 4704 4706 4708 4710 4712 4714 4716 4718 18456 18458 18460 18462 18464 18466 18468 18470 18472 18474 18476 18478 18480 18482 18484 18486 18488 18490 18492 18494 18496 18498 18500 18502 18504 18506 18508 18510 18512 18514 18516 18518 18520 18522 18524 18526 18528 18530 18532 18534
2721 2723 2725 2727 2729 2731 2733 2735 2737 2739 2741 2743 2745 2747 2749 2751 2753 2755 2757 2759 2761 2763 2765 2767 2769 2771 2773 2775 2777 2779 2781 2783 2785 2787 2789 2791 2793 2795 2797 2799 16537 16539 16541 16543 16545 16547 16549 16551 16553 16555 16557 16559 16561 16563 16565 16567 16569 16571 16573 16575 16577 16579 16581 16583 16585 16587 16589 16591 16593 16595 16597 16599 16601 16603 16605 16607 16609 16611 16613 16615
2720 2722 2724 2726 2728 2730 2732 2734 2736 2738 2740 2742 2744 2746 2748 2750 2752 2754 2756 2758 2760 2762 2764 2766 2768 2770 2772 2774 2776 2778 2780 2782 2784 2786 2788 2790 2792 2794 2796 2798 16536 16538 16540 16542 16544 16546 16548 16550 16552 16554 16556 16558 16560 16562 16564 16566 16568 16570 16572 16574 16576 16578 16580 16582 16584 16586 16588 16590 16592 16594 16596 16598 16600 16602 16604 16606 16608 16610 16612 16614
2801 2803 2805 2807 2809 2811 2813 2815 2817 2819 2821 2823 2825 2827 2829 2831 2833 2835 2837 2839 2841 2843 2845 2847 2849 2851 2853 2855 2857 2859 2861 2863 2865 2867 2869 2871 2873 2875 2877 2879 16617 16619 16621 16623 16625 16627 16629 16631 16633 16635 16637 16639 16641 16643 16645 16647 16649 16651 16653 16655 16657 16659 16661 16663 16665 16667 16669 16671 16673 16675 16677 16679 16681 16683 16685 16687 16689 16691 16693 16695
2800 2802 2804 2806 2808 2810 2812 2814 2816 2818 2820 2822 2824 2826 2828 2830 2832 2834 2836 2838 2840 2842 2844 2846 2848 2850 2852 2854 2856 2858 2860 2862 2864 2866 2868 2870 2872 2874 2876 2878 16616 16618 16620 16622 16624 16626 16628 16630 16632 16634 16636 16638 16640 16642 16644 16646 16648 16650 16652 16654 16656 16658 16660 16662 16664 16666 16668 16670 16672 16674 16676 16678 16680 16682 16684 16686 16688 16690 16692 16694
2881 2883 2885 2887 2889 2891 2893 2895 2897 2899 2901 2903 2905 2907 2909 2911 2913 2915 2917 2919 2921 2923 2925 2927 2929 2931 2933 2935 2937 2939 2941 2943 2945 2947 2949 2951 2953 2955 2957 2959 16697 16699 16701 16703 16705 16707 16709 16711 16713 16715 16717 16719 16721 16723 16725 16727 16729 16731 16733 16735 16737 16739 16741 16743 16745 16747 16749 16751 16753 16755 16757 16759 16761 16763 16765 16767 16769 16771 16773 16775
2880 2882 2884 2886 2888 2890 2892 2894 2896 2898 2900 2902 2904 2906 2908 2910 2912 2914 2916 2918 2920 2922 2924 2926 2928 2930 2932 2934 2936 2938 2940 2942 2944 2946 2948 2950 2952 2954 2956 2958 16696 16698 16700 16702 16704 16706 16708 16710 16712 16714 16716 16718 16720 16722 16724 16726 16728 16730 16732 16734 16736 16738 16740 16742 16744 16746 16748 16750 16752 16754 16756 16758 16760 16762 16764 16766 16768 16770 16772 16774
4561 4563 4565 4567 4569 4571 4573 4575 4577 4579 4581 4583 4585 4587 4589 4591 4593 4595 4597 4599 4601 4603 4605 4607 4609 4611 4613 4615 4617 4619 4621 4623 4625 4627 4629 4631 4633 4635 4637 4639 18377 18379 18381 18383 18385 18387 18389 18391 18393 18395 18397 18399 18401 18403 18405 18407 18409 18411 18413 18415 18417 18419 18421 18423 18425 18427 18429 18431 18433 18435 18437 18439 18441 18443 18445 18447 18449 18451 18453 18455
4560 4562 4564 4566 4568 4570 4572 4574 4576 4578 4580 4582 4584 4586 4588 4590 4592 4594 4596 4598 4600 4602 4604 4606 4608 4610 4612 4614 4616 4618 4620 4622 4624 4626 4628 4630 4632 4634 4636 4638 18376 18378 18380 18382 18384 18386 18388 18390 18392 18394 18396 18398 18400 18402 18404 18406 18408 18410 18412 18414 18416 18418 18420 18422 18424 18426 18428 18430 18432 18434 18436 18438 18440 18442 18444 18446 18448 18450 18452 18454
4481 4483 4485 4487 4489 4491 4493 4495 4497 4499 4501 4503 4505 4507 4509 4511 4513 4515 4517 4519 4521 4523 4525 4527 4529 4531 4533 4535 4537 4539 4541 4543 4545 4547 4549 4551 4553 4555 4557 4559 18297 18299 18301 18303 18305 18307 18309 18311 18313 18315 18317 18319 18321 18323 18325 18327 18329 18331 18333 18335 18337 18339 18341 18343 18345 18347 18349 18351 18353 18355 18357 18359 18361 18363 18365 18367 18369 18371 18373 18375
4480 4482 4484 4486 4488 4490 4492 4494 4496 4498 4500 4502 4504 4506 4508 4510 4512 4514 4516 4518 4520 4522 4524 4526 4528 4530 4532 4534 4536 4538 4540 4542 4544 4546 4548 4550 4552 4554 4556 4558 18296 18298 18300 18302 18304 18306 18308 18310 18312 18314 18316 18318 18320 18322 18324 18326 18328 18330 18332 18334 18336 18338 18340 18342 18344 18346 18348 18350 18352 18354 18356 18358 18360 18362 18364 18366 18368 18370 18372 18374
4401 4403 4405 4407 4409 4411 4413 4415 4417 4419 4421 4423 4425 4427 4429 4431 4433 4435 4437 4439 4441 4443 4445 4447 4449 4451 4453 4455 4457 4459 4461 4463 4465 4467 4469 4471 4473 4475 4477 4479 18217 18219 18221 18223 18225 18227 18229 18231 18233 18235 18237 18239 18241 18243 18245 18247 18249 18251 18253 18255 18257 18259 18261 18263 18265 18267 18269 18271 18273 18275 18277 18279 18281 18283 18285 18287 18289 18291 18293 18295
4400 4402 4404 4406 4408 4410 4412 4414 4416 4418 4420 4422 4424 4426 4428 4430 4432 4434 4436 4438 4440 4442 4444 4446 4448 4450 4452 4454 4456 4458 4460 4462 4464 4466 4468 4470 4472 4474 4476 4478 18216 18218 18220 18222 18224 18226 18228 18230 18232 18234 18236 18238 18240 18242 18244 18246 18248 18250 18252 18254 18256 18258 18260 18262 18264 18266 18268 18270 18272 18274 18276 18278 18280 18282 18284 18286 18288 18290 18292 18294
2961 2963 2965 2967 2969 2971 2973 2975 2977 2979 2981 2983 2985 2987 2989 2991 2993 2995 2997 2999 3001 3003 3005 3007 3009 3011 3013 3015 3017 3019 3021 3023 3025 3027 3029 3031 3033 3035 3037 3039 16777 16779 16781 16783 16785 16787 16789 16791 16793 16795 16797 16799 16801 16803 16805 16807 16809 16811 16813 16815 16817 16819 16821 16823 16825 16827 16829 16831 16833 16835 16837 16839 16841 16843 16845 16847 16849 16851 16853 16855
2960 2962 2964 2966 2968 2970 2972 2974 2976 2978 2980 2982 2984 2986 2988 2990 2992 2994 2996 2998 3000 3002 3004 3006 3008 3010 3012 3014 3016 3018 3020 3022 3024 3026 3028 3030 3032 3034 3036 3038 16776 16778 16780 16782 16784 16786 16788 16790 16792 16794 16796 16798 16800 16802 16804 16806 16808 16810 16812 16814 16816 16818 16820 16822 16824 16826 16828 16830 16832 16834 16836 16838 16840 16842 16844 16846 16848 16850 16852 16854
3041 3043 3045 3047 3049 3051 3053 3055 3057 3059 3061 3063 3065 3067 3069 3071 3073 3075 3077 3079 3081 3083 3085 3087 3089 3091 3093 3095 3097 3099 3101 3103 3105 3107 3109 3111 3113 3115 3117 3119 16857 16859 16861 16863 16865 16867 16869 16871 16873 16875 16877 16879 16881 16883 16885 16887 16889 16891 16893 16895 16897 16899 16901 16903 16905 16907 16909 16911 16913 16915 16917 16919 16921 16923 16925 16927 16929 16931 16933 16935
3040 3042 3044 3046 3048 3050 3052 3054 3056 3058 3060 3062 3064 3066 3068 3070 3072 3074 3076 3078 3080 3082 3084 3086 3088 3090 3092 3094 3096 3098 3100 3102 3104 3106 3108 3110 3112 3114 3116 3118 16856 16858 16860 16862 16864 16866 16868 16870 16872 16874 16876 16878 16880 16882 16884 16886 16888 16890 16892 16894 16896 16898 16900 16902 16904 16906 16908 16910 16912 16914 16916 16918 16920 16922 16924 16926 16928 16930 16932 16934
3121 3123 3125 3127 3129 3131 3133 3135 3137 3139 3141 3143 3145 3147 3149 3151 3153 3155 3157 3159 3161 3163 3165 3167 3169 3171 3173 3175 3177 3179 3181 3183 3185 3187 3189 3191 3193 3195 3197 3199 16937 16939 16941 16943 16945 16947 16949 16951 16953 16955 16957 16959 16961 16963 16965 16967 16969 16971 16973 16975 16977 16979 16981 16983 16985 16987 16989 16991 16993 16995 16997 16999 17001 17003 17005 17007 17009 17011 17013 17015
3120 3122 3124 3126 3128 3130 3132 3134 3136 3138 3140 3142 3144 3146 3148 3150 3152 3154 3156 3158 3160 3162 3164 3166 3168 3170 3172 3174 3176 3178 3180 3182 3184 3186 3188 3190 3192 3194 3196 3198 16936 16938 16940 16942 16944 16946 16948 16950 16952 16954 16956 16958 16960 16962 16964 16966 16968 16970 16972 16974 16976 16978 16980 16982 16984 16986 16988 16990 16992 16994 16996 16998 17000 17002 17004 17006 17008 17010 17012 17014
4321 4323 4325 4327 4329 4331 4333 4335 4337 4339 4341 4343 4345 4347 4349 4351 4353 4355 4357 4359 4361 4363 4365 4367 4369 4371 4373 4375 4377 4379 4381 4383 4385 4387 4389 4391 4393 4395 4397 4399 18137 18139 18141 18143 18145 18147 18149 18151 18153 18155 18157 18159 18161 18163 18165 18167 18169 18171 18173 18175 18177 18179 18181 18183 18185 18187 18189 18191 18193 18195 18197 18199 18201 18203 18205 18207 18209 18211 18213 18215
4320 4322 4324 4326 4328 4330 4332 4334 4336 4338 4340 4342 4344 4346 4348 4350 4352 4354 4356 4358 4360 4362 4364 4366 4368 4370 4372 4374 4376 4378 4380 4382 4384 4386 4388 4390 4392 4394 4396 4398 18136 18138 18140 18142 18144 18146 18148 18150 18152 18154 18156 18158 18160 18162 18164 18166 18168 18170 18172 18174 18176 18178 18180 18182 18184 18186 18188 18190 18192 18194 18196 18198 18200 18202 18204 18206 18208 18210 18212 18214
4241 4243 4245 4247 4249 4251 4253 4255 4257 4259 4261 4263 4265 4267 4269 4271 4273 4275 4277 4279 4281 4283 4285 4287 4289 4291 4293 4295 4297 4299 4301 4303 4305 4307 4309 4311 4313 4315 4317 4319 18057 18059 18061 18063 18065 18067 18069 18071 18073 18075 18077 18079 18081 18083 18085 18087 18089 18091 18093 18095 18097 18099 18101 18103 18105 18107 18109 18111 18113 18115 18117 18119 18121 18123 18125 18127 18129 18131 18133 18135
4240 4242 4244 4246 4248 4250 4252 4254 4256 4258 4260 4262 4264 4266 4268 4270 4272 4274 4276 4278 4280 4282 4284 4286 4288 4290 4292 4294 4296 4298 4300 4302 4304 4306 4308 4310 4312 4314 4316 4318 18056 18058 18060 18062 18064 18066 18068 18070 18072 18074 18076 18078 18080 18082 18084 18086 18088 18090 18092 18094 18096 18098 18100 18102 18104 18106 18108 18110 18112 18114 18116 18118 18120 18122 18124 18126 18128 18130 18132 18134
4161 4163 4165 4167 4169 4171 4173 4175 4177 4179 4181 4183 4185 4187 4189 4191 4193 4195 4197 4199 4201 4203 4205 4207 4209 4211 4213 4215 4217 4219 4221 4223 4225 4227 4229 4231 4233 4235 4237 4239 17977 17979 17981 17983 17985 17987 17989 17991 17993 17995 17997 17999 18001 18003 18005 18007 18009 18011 18013 18015 18017 18019 18021 18023 18025 18027 18029 18031 18033 18035 18037 18039 18041 18043 18045 18047 18049 18051 18053 18055
4160 4162 4164 4166 4168 4170 4172 4174 4176 4178 4180 4182 4184 4186 4188 4190 4192 4194 4196 4198 4200 4202 4204 4206 4208 4210 4212 4214 4216 4218 4220 4222 4224 4226 4228 4230 4232 4234 4236 4238 17976 17978 17980 17982 17984 17986 17988 17990 17992 17994 17996 17998 18000 18002 18004 18006 18008 18010 18012 18014 18016 18018 18020 18022 18024 18026 18028 18030 18032 18034 18036 18038 18040 18042 18044 18046 18048 18050 18052 18054
3201 3203 3205 3207 3209 3211 3213 3215 3217 3219 3221 3223 3225 3227 3229 3231 3233 3235 3237 3239 3241 3243 3245 3247 3249 3251 3253 3255 3257 3259 3261 3263 3265 3267 3269 3271 3273 3275 3277 3279 17017 17019 17021 17023 17025 17027 17029 17031 17033 17035 17037 17039 17041 17043 17045 17047 17049 17051 17053 17055 17057 17059 17061 17063 17065 17067 17069 17071 17073 17075 17077 17079 17081 17083 17085 17087 17089 17091 17093 17095
3200 3202 3204 3206 3208 3210 3212 3214 3216 3218 3220 3222 3224 3226 3228 3230 3232 3234 3236 3238 3240 3242 3244 3246 3248 3250 3252 3254 3256 3258 3260 3262 3264 3266 3268 3270 3272 3274 3276 3278 17016 17018 17020 17022 17024 17026 17028 17030 17032 17034 17036 17038 17040 17042 17044 17046 17048 17050 17052 17054 17056 17058 17060 17062 17064 17066 17068 17070 17072 17074 17076 17078 17080 17082 17084 17086 17088 17090 17092 17094
3281 3283 3285 3287 3289 3291 3293 3295 3297 3299 3301 3303 3305 3307 3309 3311 3313 3315 3317 3319 3321 3323 3325 3327 3329 3331 3333 3335 3337 3339 3341 3343 3345 3347 3349 3351 3353 3355 3357 3359 17097 17099 17101 17103 17105 17107 17109 17111 17113 17115 17117 17119 17121 17123 17125 17127 17129 17131 17133 17135 17137 17139 17141 17143 17145 17147 17149 17151 17153 17155 17157 17159 17161 17163 17165 17167 17169 17171 17173 17175
3280 3282 3284 3286 3288 3290 3292 3294 3296 3298 3300 3302 3304 3306 3308 3310 3312 3314 3316 3318 3320 3322 3324 3326 3328 3330 3332 3334 3336 3338 3340 3342 3344 3346 3348 3350 3352 3354 3356 3358 17096 17098 17100 17102 17104 17106 17108 17110 17112 17114 17116 17118 17120 17122 17124 17126 17128 17130 17132 17134 17136 17138 17140 17142 17144 17146 17148 17150 17152 17154 17156 17158 17160 17162 17164 17166 17168 17170 17172 17174
3361 3363 3365 3367 3369 3371 3373 3375 3377 3379 3381 3383 3385 3387 3389 3391 3393 3395 3397 3399 3401 3403 3405 3407 3409 3411 3413 3415 3417 3419 3421 3423 3425 3427 3429 3431 3433 3435 3437 3439 17177 17179 17181 17183 17185 17187 17189 17191 17193 17195 17197 17199 17201 17203 17205 17207 17209 17211 17213 17215 17217 17219 17221 17223 17225 17227 17229 17231 17233 17235 17237 17239 17241 17243 17245 17247 17249 17251 17253 17255
3360 3362 3364 3366 3368 3370 3372 3374 3376 3378 3380 3382 3384 3386 3388 3390 3392 3394 3396 3398 3400 3402 3404 3406 3408 3410 3412 3414 3416 3418 3420 3422 3424 3426 3428 3430 3432 3434 3436 3438 17176 17178 17180 17182 17184 17186 17188 17190 17192 17194 17196 17198 17200 17202 17204 17206 17208 17210 17212 17214 17216 17218 17220 17222 17224 17226 17228 17230 17232 17234 17236 17238 17240 17242 17244 17246 17248 17250 17252 17254
4081 4083 4085 4087 4089 4091 4093 4095 4097 4099 4101 4103 4105 4107 4109 4111 4113 4115 4117 4119 4121 4123 4125 4127 4129 4131 4133 4135 4137 4139 4141 4143 4145 4147 4149 4151 4153 4155 4157 4159 17897 17899 17901 17903 17905 17907 17909 17911 17913 17915 17917 17919 17921 17923 17925 17927 17929 17931 17933 17935 17937 17939 17941 17943 17945 17947 17949 17951 17953 17955 17957 17959 17961 17963 17965 17967 17969 17971 17973 17975
4080 4082 4084 4086 4088 4090 4092 4094 4096 4098 4100 4102 4104 4106 4108 4110 4112 4114 4116 4118 4120 4122 4124 4126 4128 4130 4132 4134 4136 4138 4140 4142 4144 4146 4148 4150 4152 4154 4156 4158 17896 17898 17900 17902 17904 17906 17908 17910 17912 17914 17916 17918 17920 17922 17924 17926 17928 17930 17932 17934 17936 17938 17940 17942 17944 17946 17948 17950 17952 17954 17956 17958 17960 17962 17964 17966 17968 17970 17972 17974
4001 4003 4005 4007 4009 4011 4013 4015 4017 4019 4021 4023 4025 4027 4029 4031 4033 4035 4037 4039 4041 4043 4045 4047 4049 4051 4053 4055 4057 4059 4061 4063 4065 4067 4069 4071 4073 4075 4077 4079 17817 17819 17821 17823 17825 17827 17829 17831 17833 17835 17837 17839 17841 17843 17845 17847 17849 17851 17853 17855 17857 17859 17861 17863 17865 17867 17869 17871 17873 17875 17877 17879 17881 17883 17885 17887 17889 17891 17893 17895
4000 4002 4004 4006 4008 4010 4012 4014 4016 4018 4020 4022 4024 4026 4028 4030 4032 4034 4036 4038 4040 4042 4044 4046 4048 4050 4052 4054 4056 4058 4060 4062 4064 4066 4068 4070 4072 4074 4076 4078 17816 17818 17820 17822 17824 17826 17828 17830 17832 17834 17836 17838 17840 17842 17844 17846 17848 17850 17852 17854 17856 17858 17860 17862 17864 17866 17868 17870 17872 17874 17876 17878 17880 17882 17884 17886 17888 17890 17892 17894
3921 3923 3925 3927 3929 3931 3933 3935 3937 3939 3941 3943 3945 3947 3949 3951 3953 3955 3957 3959 3961 3963 3965 3967 3969 3971 3973 3975 3977 3979 3981 3983 3985 3987 3989 3991 3993 3995 3997 3999 17737 17739 17741 17743 17745 17747 17749 17751 17753 17755 17757 17759 17761 17763 17765 17767 17769 17771 17773 17775 17777 17779 17781 17783 17785 17787 17789 17791 17793 17795 17797 17799 17801 17803 17805 17807 17809 17811 17813 17815
3920 3922 3924 3926 3928 3930 3932 3934 3936 3938 3940 3942 3944 3946 3948 3950 3952 3954 3956 3958 3960 3962 3964 3966 3968 3970 3972 3974 3976 3978 3980 3982 3984 3986 3988 3990 3992 3994 3996 3998 17736 17738 17740 17742 17744 17746 17748 17750 17752 17754 17756 17758 17760 17762 17764 17766 17768 17770 17772 17774 17776 17778 17780 17782 17784 17786 17788 17790 17792 17794 17796 17798 17800 17802 17804 17806 17808 17810 17812 17814
3441 3443 3445 3447 3449 3451 3453 3455 3457 3459 3461 3463 3465 3467 3469 3471 3473 3475 3477 3479 3481 3483 3485 3487 3489 3491 3493 3495 3497 3499 3501 3503 3505 3507 3509 3511 3513 3515 3517 3519 17257 17259 17261 17263 17265 17267 17269 17271 17273 17275 17277 17279 17281 17283 17285 17287 17289 17291 17293 17295 17297 17299 17301 17303 17305 17307 17309 17311 17313 17315 17317 17319 17321 17323 17325 17327 17329 17331 17333 17335
3440 3442 3444 3446 3448 3450 3452 3454 3456 3458 3460 3462 3464 3466 3468 3470 3472 3474 3476 3478 3480 3482 3484 3486 3488 3490 3492 3494 3496 3498 3500 3502 3504 3506 3508 3510 3512 3514 3516 3518 17256 17258 17260 17262 17264 17266 17268 17270 17272 17274 17276 17278 17280 17282 17284 17286 17288 17290 17292 17294 17296 17298 17300 17302 17304 17306 17308 17310 17312 17314 17316 17318 17320 17322 17324 17326 17328 17330 17332 17334
3521 3523 3525 3527 3529 3531 3533 3535 3537 3539 3541 3543 3545 3547 3549 3551 3553 3555 3557 3559 3561 3563 3565 3567 3569 3571 3573 3575 3577 3579 3581 3583 3585 3587 3589 3591 3593 3595 3597 3599 17337 17339 17341 17343 17345 17347 17349 17351 17353 17355 17357 17359 17361 17363 17365 17367 17369 17371 17373 17375 17377 17379 17381 17383 17385 17387 17389 17391 17393 17395 17397 17399 17401 17403 17405 17407 17409 17411 17413 17415
3520 3522 3524 3526 3528 3530 3532 3534 3536 3538 3540 3542 3544 3546 3548 3550 3552 3554 3556 3558 3560 3562 3564 3566 3568 3570 3572 3574 3576 3578 3580 3582 3584 3586 3588 3590 3592 3594 3596 3598 17336 17338 17340 17342 17344 17346 17348 17350 17352 17354 17356 17358 17360 17362 17364 17366 17368 17370 17372 17374 17376 17378 17380 17382 17384 17386 17388 17390 17392 17394 17396 17398 17400 17402 17404 17406 17408 17410 17412 17414
3601 3603 3605 3607 3609 3611 3613 3615 3617 3619 3621 3623 3625 3627 3629 3631 3633 3635 3637 3639 3641 3643 3645 3647 3649 3651 3653 3655 3657 3659 3661 3663 3665 3667 3669 3671 3673 3675 3677 3679 17417 17419 17421 17423 17425 17427 17429 17431 17433 17435 17437 17439 17441 17443 17445 17447 17449 17451 17453 17455 17457 17459 17461 17463 17465 17467 17469 17471 17473 17475 17477 17479 17481 17483 17485 17487 17489 17491 17493 17495
3600 3602 3604 3606 3608 3610 3612 3614 3616 3618 3620 3622 3624 3626 3628 3630 3632 3634 3636 3638 3640 3642 3644 3646 3648 3650 3652 3654 3656 3658 3660 3662 3664 3666 3668 3670 3672 3674 3676 3678 17416 17418 17420 17422 17424 17426 17428 17430 17432 17434 17436 17438 17440 17442 17444 17446 17448 17450 17452 17454 17456 17458 17460 17462 17464 17466 17468 17470 17472 17474 17476 17478 17480 17482 17484 17486 17488 17490 17492 17494
3841 3843 3845 3847 3849 3851 3853 3855 3857 3859 3861 3863 3865 3867 3869 3871 3873 3875 3877 3879 3881 3883 3885 3887 3889 3891 3893 3895 3897 3899 3901 3903 3905 3907 3909 3911 3913 3915 3917 3919 17657 17659 17661 17663 17665 17667 17669 17671 17673 17675 17677 17679 17681 17683 17685 17687 17689 17691 17693 17695 17697 17699 17701 17703 17705 17707 17709 17711 17713 17715 17717 17719 17721 17723 17725 17727 17729 17731 17733 17735
3840 3842 3844 3846 3848 3850 3852 3854 3856 3858 3860 3862 3864 3866 3868 3870 3872 3874 3876 3878 3880 3882 3884 3886 3888 3890 3892 3894 3896 3898 3900 3902 3904 3906 3908 3910 3912 3914 3916 3918 17656 17658 17660 17662 17664 17666 17668 17670 17672 17674 17676 17678 17680 17682 17684 17686 17688 17690 17692 17694 17696 17698 17700 17702 17704 17706 17708 17710 17712 17714 17716 17718 17720 17722 17724 17726 17728 17730 17732 17734
3761 3763 3765 3767 3769 3771 3773 3775 3777 3779 3781 3783 3785 3787 3789 3791 3793 3795 3797 3799 3801 3803 3805 3807 3809 3811 3813 3815 3817 3819 3821 3823 3825 3827 3829 3831 3833 3835 3837 3839 17577 17579 17581 17583 17585 17587 17589 17591 17593 17595 17597 17599 17601 17603 17605 17607 17609 17611 17613 17615 17617 17619 17621 17623 17625 17627 17629 17631 17633 17635 17637 17639 17641 17643 17645 17647 17649 17651 17653 17655
3760 3762 3764 3766 3768 3770 3772 3774 3776 3778 3780 3782 3784 3786 3788 3790 3792 3794 3796 3798 3800 3802 3804 3806 3808 3810 3812 3814 3816 3818 3820 3822 3824 3826 3828 3830 3832 3834 3836 3838 17576 17578 17580 17582 17584 17586 17588 17590 17592 17594 17596 17598 17600 17602 17604 17606 17608 17610 17612 17614 17616 17618 17620 17622 17624 17626 17628 17630 17632 17634 17636 17638 17640 17642 17644 17646 17648 17650 17652 17654
3681 3683 3685 3687 3689 3691 3693 3695 3697 3699 3701 3703 3705 3707 3709 3711 3713 3715 3717 3719 3721 3723 3725 3727 3729 3731 3733 3735 3737 3739 3741 3743 3745 3747 3749 3751 3753 3755 3757 3759 17497 17499 17501 17503 17505 17507 17509 17511 17513 17515 17517 17519 17521 17523 17525 17527 17529 17531 17533 17535 17537 17539 17541 17543 17545 17547 17549 17551 17553 17555 17557 17559 17561 17563 17565 17567 17569 17571 17573 17575
3680 3682 3684 3686 3688 3690 3692 3694 3696 3698 3700 3702 3704 3706 3708 3710 3712 3714 3716 3718 3720 3722 3724 3726 3728 3730 3732 3734 3736 3738 3740 3742 3744 3746 3748 3750 3752 3754 3756 3758 17496 17498 17500 17502 17504 17506 17508 17510 17512 17514 17516 17518 17520 17522 17524 17526 17528 17530 17532 17534 17536 17538 17540 17542 17544 17546 17548 17550 17552 17554 17556 17558 17560 17562 17564 17566 17568 17570 17572 17574
27 55 83 111 139 167 195 223 251 279 307 335 363 391 419 447 475 503 531 559 587 615 643 671 699 727 755 783 811 839 867 895 923 951 979 1007 1035 1063 1091 1119 13843 13871 13899 13927 13955 13983 14011 14039 14067 14095 14123 14151 14179 14207 14235 14263 14291 14319 14347 14375 14403 14431 14459 14487 14515 14543 14571 14599 14627 14655 14683 14711 14739 14767 14795 14823 14851 14879 14907 14935
6935 6963 6991 7019 7047 7075 7103 7131 7159 7187 7215 7243 7271 7299 7327 7355 7383 7411 7439 7467 7495 7523 7551 7579 7607 7635 7663 7691 7719 7747 7775 7803 7831 7859 7887 7915 7943 7971 7999 8027 20764 20792 20820 20848 20876 20904 20932 20960 20988 21016 21044 21072 21100 21128 21156 21184 21212 21240 21268 21296 21324 21352 21380 21408 21436 21464 21492 21520 21548 21576 21604 21632 21660 21688 21716 21744 21772 21800 21828 21856
26 54 82 110 138 166 194 222 250 278 306 334 362 390 418 446 474 502 530 558 586 614 642 670 698 726 754 782 810 838 866 894 922 950 978 1006 1034 1062 1090 1118 13842 13870 13898 13926 13954 13982 14010 14038 14066 14094 14122 14150 14178 14206 14234 14262 14290 14318 14346 14374 14402 14430 14458 14486 14514 14542 14570 14598 14626 14654 14682 14710 14738 14766 14794 14822 14850 14878 14906 14934
6934 6962 6990 7018 7046 7074 7102 7130 7158 7186 7214 7242 7270 7298 7326 7354 7382 7410 7438 7466 7494 7522 7550 7578 7606 7634 7662 7690 7718 7746 7774 7802 7830 7858 7886 7914 7942 7970 7998 8026 20763 20791 20819 20847 20875 20903 20931 20959 20987 21015 21043 21071 21099 21127 21155 21183 21211 21239 21267 21295 21323 21351 21379 21407 21435 21463 21491 21519 21547 21575 21603 21631 21659 21687 21715 21743 21771 21799 21827 21855
25 53 81 109 137 165 193 221 249 277 305 333 361 389 417 445 473 501 529 557 585 613 641 669 697 725 753 781 809 837 865 893 921 949 977 1005 1033 1061 1089 1117 13841 13869 13897 13925 13953 13981 14009 14037 14065 14093 14121 14149 14177 14205 14233 14261 14289 14317 14345 14373 14401 14429 14457 14485 14513 14541 14569 14597 14625 14653 14681 14709 14737 14765 14793 14821 14849 14877 14905 14933
6933 6961 6989 7017 7045 7073 7101 7129 7157 7185 7213 7241 7269 7297 7325 7353 7381 7409 7437 7465 7493 7521 7549 7577 7605 7633 7661 7689 7717 7745 7773 7801 7829 7857 7885 7913 7941 7969 7997 8025 20762 20790 20818 20846 20874 20902 20930 20958 20986 21014 21042 21070 21098 21126 21154 21182 21210 21238 21266 21294 21322 21350 21378 21406 21434 21462 21490 21518 21546 21574 21602 21630 21658 21686 21714 21742 21770 21798 21826 21854
24 52 80 108 136 164 192 220 248 276 304 332 360 388 416 444 472 500 528 556 584 612 640 668 696 724 752 780 808 836 864 892 920 948 976 1004 1032 1060 1088 1116 13840 13868 13896 13924 13952 13980 14008 14036 14064 14092 14120 14148 14176 14204 14232 14260 14288 14316 14344 14372 14400 14428 14456 14484 14512 14540 14568 14596 14624 14652 14680 14708 14736 14764 14792 14820 14848 14876 14904 14932
6932 6960 6988 7016 7044 7072 7100 7128 7156 7184 7212 7240 7268 7296 7324 7352 7380 7408 7436 7464 7492 7520 7548 7576 7604 7632 7660 7688 7716 7744 7772 7800 7828 7856 7884 7912 7940 7968 7996 8024 20761 20789 20817 20845 20873 20901 20929 20957 20985 21013 21041 21069 21097 21125 21153 21181 21209 21237 21265 21293 21321 21349 21377 21405 21433 21461 21489 21517 21545 21573 21601 21629 21657 21685 21713 21741 21769 21797 21825 21853
23 51 79 107 135 163 191 219 247 275 303 331 359 387 415 443 471 499 527 555 583 611 639 667 695 723 751 779 807 835 863 891 919 947 975 1003 1031 1059 1087 1115 13839 13867 13895 13923 13951 13979 14007 14035 14063 14091 14119 14147 14175 14203 14231 14259 14287 14315 14343 14371 14399 14427 14455 14483 14511 14539 14567 14595 14623 14651 14679 14707 14735 14763 14791 14819 14847 14875 14903 14931
6931 6959 6987 7015 7043 7071 7099 7127 7155 7183 7211 7239 7267 7295 7323 7351 7379 7407 7435 7463 7491 7519 7547 7575 7603 7631 7659 7687 7715 7743 7771 7799 7827 7855 7883 7911 7939 7967 7995 8023 20760 20788 20816 20844 20872 20900 20928 20956 20984 21012 21040 21068 21096 21124 21152 21180 21208 21236 21264 21292 21320 21348 21376 21404 21432 21460 21488 21516 21544 21572 21600 21628 21656 21684 21712 21740 21768 21796 21824 21852
22 50 78 106 134 162 190 218 246 274 302 330 358 386 414 442 470 498 526 554 582 610 638 666 694 722 750 778 806 834 862 890 918 946 974 1002 1030 1058 1086 1114 13838 13866 13894 13922 13950 13978 14006 14034 14062 14090 14118 14146 14174 14202 14230 14258 14286 14314 14342 14370 14398 14426 14454 14482 14510 14538 14566 14594 14622 14650 14678 14706 14734 14762 14790 14818 14846 14874 14902 14930
6930 6958 6986 7014 7042 7070 7098 7126 7154 7182 7210 7238 7266 7294 7322 7350 7378 7406 7434 7462 7490 7518 7546 7574 7602 7630 7658 7686 7714 7742 7770 7798 7826 7854 7882 7910 7938 7966 7994 8022 20759 20787 20815 20843 20871 20899 20927 20955 20983 21011 21039 21067 21095 21123 21151 21179 21207 21235 21263 21291 21319 21347 21375 21403 21431 21459 21487 21515 21543 21571 21599 21627 21655 21683 21711 21739 21767 21795 21823 21851
21 49 77 105 133 161 189 217 245 273 301 329 357 385 413 441 469 497 525 553 581 609 637 665 693 721 749 777 805 833 861 889 917 945 973 1001 1029 1057 1085 1113 13837 13865 13893 13921 13949 13977 14005 14033 14061 14089 14117 14145 14173 14201 14229 14257 14285 14313 14341 14369 14397 14425 14453 14481 14509 14537 14565 14593 14621 14649 14677 14705 14733 14761 14789 14817 14845 14873 14901 14929
6929 6957 6985 7013 7041 7069 7097 7125 7153 7181 7209 7237 7265 7293 7321 7349 7377 7405 7433 7461 7489 7517 7545 7573 7601 7629 7657 7685 7713 7741 7769 7797 7825 7853 7881 7909 7937 7965 7993 8021 20758 20786 20814 20842 20870 20898 20926 20954 20982 21010 21038 21066 21094 21122 21150 21178 21206 21234 21262 21290 21318 21346 21374 21402 21430 21458 21486 21514 21542 21570 21598 21626 21654 21682 21710 21738 21766 21794 21822 21850
20 48 76 104 132 160 188 216 244 272 300 328 356 384 412 440 468 496 524 552 580 608 636 664 692 720 748 776 804 832 860 888 916 944 972 1000 1028 1056 1084 1112 13836 13864 13892 13920 13948 13976 14004 14032 14060 14088 14116 14144 14172 14200 14228 14256 14284 14312 14340 14368 14396 14424 14452 14480 14508 14536 14564 14592 14620 14648 14676 14704 14732 14760 14788 14816 14844 14872 14900 14928
6928 6956 6984 7012 7040 7068 7096 7124 7152 7180 7208 7236 7264 7292 7320 7348 7376 7404 7432 7460 7488 7516 7544 7572 7600 7628 7656 7684 7712 7740 7768 7796 7824 7852 7880 7908 7936 7964 7992 8020 20757 20785 20813 20841 20869 20897 20925 20953 20981 21009 21037 21065 21093 21121 21149 21177 21205 21233 21261 21289 21317 21345 21373 21401 21429 21457 21485 21513 21541 21569 21597 21625 21653 21681 21709 21737 21765 21793 21821 21849
19 47 75 103 131 159 187 215 243 271 299 327 355 383 411 439 467 495 523 551 579 607 635 663 691 719 747 775 803 831 859 887 915 943 971 999 1027 1055 1083 1111 13835 13863 13891 13919 13947 13975 14003 14031 14059 14087 14115 14143 14171 14199 14227 14255 14283 14311 14339 14367 14395 14423 14451 14479 14507 14535 14563 14591 14619 14647 14675 14703 14731 14759 14787 14815 14843 14871 14899 14927
6927 6955 6983 7011 7039 7067 7095 7123 7151 7179 7207 7235 7263 7291 7319 7347 7375 7403 7431 7459 7487 7515 7543 7571 7599 7627 7655 7683 7711 7739 7767 7795 7823 7851 7879 7907 7935 7963 7991 8019 20756 20784 20812 20840 20868 20896 20924 20952 20980 21008 21036 21064 21092 21120 21148 21176 21204 21232 21260 21288 21316 21344 21372 21400 21428 21456 21484 21512 21540 21568 21596 21624 21652 21680 21708 21736 21764 21792 21820 21848
18 46 74 102 130 158 186 214 242 270 298 326 354 382 410 438 466 494 522 550 578 606 634 662 690 718 746 774 802 830 858 886 914 942 970 998 1026 1054 1082 1110 13834 13862 13890 13918 13946 13974 14002 14030 14058 14086 14114 14142 14170 14198 14226 14254 14282 14310 14338 14366 14394 14422 14450 14478 14506 14534 14562 14590 14618 14646 14674 14702 14730 14758 14786 14814 14842 14870 14898 14926
6926 6954 6982 7010 7038 7066 7094 7122 7150 7178 7206 7234 7262 7290 7318 7346 7374 7402 7430 7458 7486 7514 7542 7570 7598 7626 7654 7682 7710 7738 7766 7794 7822 7850 7878 7906 7934 7962 7990 8018 20755 20783 20811 20839 20867 20895 20923 20951 20979 21007 21035 21063 21091 21119 21147 21175 21203 21231 21259 21287 21315 21343 21371 21399 21427 21455 21483 21511 21539 21567 21595 21623 21651 21679 21707 21735 21763 21791 21819 21847
17 45 73 101 129 157 185 213 241 269 297 325 353 381 409 437 465 493 521 549 577 605 633 661 689 717 745 773 801 829 857 885 913 941 969 997 1025 1053 1081 1109 13833 13861 13889 13917 13945 13973 14001 14029 14057 14085 14113 14141 14169 14197 14225 14253 14281 14309 14337 14365 14393 14421 14449 14477 14505 14533 14561 14589 14617 14645 14673 14701 14729 14757 14785 14813 14841 14869 14897 14925
6925 6953 6981 7009 7037 7065 7093 7121 7149 7177 7205 7233 7261 7289 7317 7345 7373 7401 7429 7457 7485 7513 7541 7569 7597 7625 7653 7681 7709 7737 7765 7793 7821 7849 7877 7905 7933 7961 7989 8017 20754 20782 20810 20838 20866 20894 20922 20950 20978 21006 21034 21062 21090 21118 21146 21174 21202 21230 21258 21286 21314 21342 21370 21398 21426 21454 21482 21510 21538 21566 21594 21622 21650 21678 21706 21734 21762 21790 21818 21846
16 44 72 100 128 156 184 212 240 268 296 324 352 380 408 436 464 492 520 548 576 604 632 660 688 716 744 772 800 828 856 884 912 940 968 996 1024 1052 1080 1108 13832 13860 13888 13916 13944 13972 14000 14028 14056 14084 14112 14140 14168 14196 14224 14252 14280 14308 14336 14364 14392 14420 14448 14476 14504 14532 14560 14588 14616 14644 14672 14700 14728 14756 14784 14812 14840 14868 14896 14924
6924 6952 6980 7008 7036 7064 7092 7120 7148 7176 7204 7232 7260 7288 7316 7344 7372 7400 7428 7456 7484 7512 7540 7568 7596 7624 7652 7680 7708 7736 7764 7792 7820 7848 7876 7904 7932 7960 7988 8016 20753 20781 20809 20837 20865 20893 20921 20949 20977 21005 21033 21061 21089 21117 21145 21173 21201 21229 21257 21285 21313 21341 21369 21397 21425 21453 21481 21509 21537 21565 21593 21621 21649 21677 21705 21733 21761 21789 21817 21845
15 43 71 99 127 155 183 211 239 267 295 323 351 379 407 435 463 491 519 547 575 603 631 659 687 715 743 771 799 827 855 883 911 939 967 995 1023 1051 1079 1107 13831 13859 13887 13915 13943 13971 13999 14027 14055 14083 14111 14139 14167 14195 14223 14251 14279 14307 14335 14363 14391 14419 14447 14475 14503 14531 14559 14587 14615 14643 14671 14699 14727 14755 14783 14811 14839 14867 14895 14923
6923 6951 6979 7007 7035 7063 7091 7119 7147 7175 7203 7231 7259 7287 7315 7343 7371 7399 7427 7455 7483 7511 7539 7567 7595 7623 7651 7679 7707 7735 7763 7791 7819 7847 7875 7903 7931 7959 7987 8015 20752 20780 20808 20836 20864 20892 20920 20948 20976 21004 21032 21060 21088 21116 21144 21172 21200 21228 21256 21284 21312 21340 21368 21396 21424 21452 21480 21508 21536 21564 21592 21620 21648 21676 21704 21732 21760 21788 21816 21844
14 42 70 98 126 154 182 210 238 266 294 322 350 378 406 434 462 490 518 546 574 602 630 658 686 714 742 770 798 826 854 882 910 938 966 994 1022 1050 1078 1106 13830 13858 13886 13914 13942 13970 13998 14026 14054 14082 14110 14138 14166 14194 14222 14250 14278 14306 14334 14362 14390 14418 14446 14474 14502 14530 14558 14586 14614 14642 14670 14698 14726 14754 14782 14810 14838 14866 14894 14922
6922 6950 6978 7006 7034 7062 7090 7118 7146 7174 7202 7230 7258 7286 7314 7342 7370 7398 7426 7454 7482 7510 7538 7566 7594 7622 7650 7678 7706 7734 7762 7790 7818 7846 7874 7902 7930 7958 7986 8014 20751 20779 20807 20835 20863 20891 20919 20947 20975 21003 21031 21059 21087 21115 21143 21171 21199 21227 21255 21283 21311 21339 21367 21395 21423 21451 21479 21507 21535 21563 21591 21619 21647 21675 21703 21731 21759 21787 21815 21843
13 41 69 97 125 153 181 209 237 265 293 321 349 377 405 433 461 489 517 545 573 601 629 657 685 713 741 769 797 825 853 881 909 937 965 993 1021 1049 1077 1105 13829 13857 13885 13913 13941 13969 13997 14025 14053 14081 14109 14137 14165 14193 14221 14249 14277 14305 14333 14361 14389 14417 14445 14473 14501 14529 14557 14585 14613 14641 14669 14697 14725 14753 14781 14809 14837 14865 14893 14921
6921 6949 6977 7005 7033 7061 7089 7117 7145 7173 7201 7229 7257 7285 7313 7341 7369 7397 7425 7453 7481 7509 7537 7565 7593 7621 7649 7677 7705 7733 7761 7789 7817 7845 7873 7901 7929 7957 7985 8013 20750 20778 20806 20834 20862 20890 20918 20946 20974 21002 21030 21058 21086 21114 21142 21170 21198 21226 21254 21282 21310 21338 21366 21394 21422 21450 21478 21506 21534 21562 21590 21618 21646 21674 21702 21730 21758 21786 21814 21842
12 40 68 96 124 152 180 208 236 264 292 320 348 376 404 432 460 488 516 544 572 600 628 656 684 712 740 768 796 824 852 880 908 936 964 992 1020 1048 1076 1104 13828 13856 13884 13912 13940 13968 13996 14024 14052 14080 14108 14136 14164 14192 14220 14248 14276 14304 14332 14360 14388 14416 14444 14472 14500 14528 14556 14584 14612 14640 14668 14696 14724 14752 14780 14808 14836 14864 14892 14920
6920 6948 6976 7004 7032 7060 7088 7116 7144 7172 7200 7228 7256 7284 7312 7340 7368 7396 7424 7452 7480 7508 7536 7564 7592 7620 7648 7676 7704 7732 7760 7788 7816 7844 7872 7900 7928 7956 7984 8012 20749 20777 20805 20833 20861 20889 20917 20945 20973 21001 21029 21057 21085 21113 21141 21169 21197 21225 21253 21281 21309 21337 21365 21393 21421 21449 21477 21505 21533 21561 21589 21617 21645 21673 21701 21729 21757 21785 21813 21841
11 39 67 95 123 151 179 207 235 263 291 319 347 375 403 431 459 487 515 543 571 599 627 655 683 711 739 767 795 823 851 879 907 935 963 991 1019 1047 1075 1103 13827 13855 13883 13911 13939 13967 13995 14023 14051 14079 14107 14135 14163 14191 14219 14247 14275 14303 14331 14359 14387 14415 14443 14471 14499 14527 14555 14583 14611 14639 14667 14695 14723 14751 14779 14807 14835 14863 14891 14919
6919 6947 6975 7003 7031 7059 7087 7115 7143 7171 7199 7227 7255 7283 7311 7339 7367 7395 7423 7451 7479 7507 7535 7563 7591 7619 7647 7675 7703 7731 7759 7787 7815 7843 7871 7899 7927 7955 7983 8011 20748 20776 20804 20832 20860 20888 20916 20944 20972 21000 21028 21056 21084 21112 21140 21168 21196 21224 21252 21280 21308 21336 21364 21392 21420 21448 21476 21504 21532 21560 21588 21616 21644 21672 21700 21728 21756 21784 21812 21840
10 38 66 94 122 150 178 206 234 262 290 318 346 374 402 430 458 486 514 542 570 598 626 654 682 710 738 766 794 822 850 878 906 934 962 990 1018 1046 1074 1102 13826 13854 13882 13910 13938 13966 13994 14022 14050 14078 14106 14134 14162 14190 14218 14246 14274 14302 14330 14358 14386 14414 14442 14470 14498 14526 14554 14582 14610 14638 14666 14694 14722 14750 14778 14806 14834 14862 14890 14918
6918 6946 6974 7002 7030 7058 7086 7114 7142 7170 7198 7226 7254 7282 7310 7338 7366 7394 7422 7450 7478 7506 7534 7562 7590 7618 7646 7674 7702 7730 7758 7786 7814 7842 7870 7898 7926 7954 7982 8010 20747 20775 20803 20831 20859 20887 20915 20943 20971 20999 21027 21055 21083 21111 21139 21167 21195 21223 21251 21279 21307 21335 21363 21391 21419 21447 21475 21503 21531 21559 21587 21615 21643 21671 21699 21727 21755 21783 21811 21839
9 37 65 93 121 149 177 205 233 261 289 317 345 373 401 429 457 485 513 541 569 597 625 653 681 709 737 765 793 821 849 877 905 933 961 989 1017 1045 1073 1101 13825 13853 13881 13909 13937 13965 13993 14021 14049 14077 14105 14133 14161 14189 14217 14245 14273 14301 14329 14357 14385 14413 14441 14469 14497 14525 14553 14581 14609 14637 14665 14693 14721 14749 14777 14805 14833 14861 14889 14917
6917 6945 6973 7001 7029 7057 7085 7113 7141 7169 7197 7225 7253 7281 7309 7337 7365 7393 7421 7449 7477 7505 7533 7561 7589 7617 7645 7673 7701 7729 7757 7785 7813 7841 7869 7897 7925 7953 7981 8009 20746 20774 20802 20830 20858 20886 20914 20942 20970 20998 21026 21054 21082 21110 21138 21166 21194 21222 21250 21278 21306 21334 21362 21390 21418 21446 21474 21502 21530 21558 21586 21614 21642 21670 21698 21726 21754 21782 21810 21838
8 36 64 92 120 148 176 204 232 260 288 316 344 372 400 428 456 484 512 540 568 596 624 652 680 708 736 764 792 820 848 876 904 932 960 988 1016 1044 1072 1100 13824 13852 13880 13908 13936 13964 13992 14020 14048 14076 14104 14132 14160 14188 14216 14244 14272 14300 14328 14356 14384 14412 14440 14468 14496 14524 14552 14580 14608 14636 14664 14692 14720 14748 14776 14804 14832 14860 14888 14916
6916 6944 6972 7000 7028 7056 7084 7112 7140 7168 7196 7224 7252 7280 7308 7336 7364 7392 7420 7448 7476 7504 7532 7560 7588 7616 7644 7672 7700 7728 7756 7784 7812 7840 7868 7896 7924 7952 7980 8008 20745 20773 20801 20829 20857 20885 20913 20941 20969 20997 21025 21053 21081 21109 21137 21165 21193 21221 21249 21277 21305 21333 21361 21389 21417 21445 21473 21501 21529 21557 21585 21613 21641 21669 21697 21725 21753 21781 21809 21837
7 35 63 91 119 147 175 203 231 259 287 315 343 371 399 427 455 483 511 539 567 595 623 651 679 707 735 763 791 819 847 875 903 931 959 987 1015 1043 1071 1099 13823 13851 13879 13907 13935 13963 13991 14019 14047 14075 14103 14131 14159 14187 14215 14243 14271 14299 14327 14355 14383 14411 14439 14467 14495 14523 14551 14579 14607 14635 14663 14691 14719 14747 14775 14803 14831 14859 14887 14915
6915 6943 6971 6999 7027 7055 7083 7111 7139 7167 7195 7223 7251 7279 7307 7335 7363 7391 7419 7447 7475 7503 7531 7559 7587 7615 7643 7671 7699 7727 7755 7783 7811 7839 7867 7895 7923 7951 7979 8007 20744 20772 20800 20828 20856 20884 20912 20940 20968 20996 21024 21052 21080 21108 21136 21164 21192 21220 21248 21276 21304 21332 21360 21388 21416 21444 21472 21500 21528 21556 21584 21612 21640 21668 21696 21724 21752 21780 21808 21836
6 34 62 90 118 146 174 202 230 258 286 314 342 370 398 426 454 482 510 538 566 594 622 650 678 706 734 762 790 818 846 874 902 930 958 986 1014 1042 1070 1098 13822 13850 13878 13906 13934 13962 13990 14018 14046 14074 14102 14130 14158 14186 14214 14242 14270 14298 14326 14354 14382 14410 14438 14466 14494 14522 14550 14578 14606 14634 14662 14690 14718 14746 14774 14802 14830 14858 14886 14914
6914 6942 6970 6998 7026 7054 7082 7110 7138 7166 7194 7222 7250 7278 7306 7334 7362 7390 7418 7446 7474 7502 7530 7558 7586 7614 7642 7670 7698 7726 7754 7782 7810 7838 7866 7894 7922 7950 7978 8006 20743 20771 20799 20827 20855 20883 20911 20939 20967 20995 21023 21051 21079 21107 21135 21163 21191 21219 21247 21275 21303 21331 21359 21387 21415 21443 21471 21499 21527 21555 21583 21611 21639 21667 21695 21723 21751 21779 21807 21835
5 33 61 89 117 145 173 201 229 257 285 313 341 369 397 425 453 481 509 537 565 593 621 649 677 705 733 761 789 817 845 873 901 929 957 985 1013 1041 1069 1097 13821 13849 13877 13905 13933 13961 13989 14017 14045 14073 14101 14129 14157 14185 14213 14241 14269 14297 14325 14353 14381 14409 14437 14465 14493 14521 14549 14577 14605 14633 14661 14689 14717 14745 14773 14801 14829 14857 14885 14913
6913 6941 6969 6997 7025 7053 7081 7109 7137 7165 7193 7221 7249 7277 7305 7333 7361 7389 7417 7445 7473 7501 7529 7557 7585 7613 7641 7669 7697 7725 7753 7781 7809 7837 7865 7893 7921 7949 7977 8005 20742 20770 20798 20826 20854 20882 20910 20938 20966 20994 21022 21050 21078 21106 21134 21162 21190 21218 21246 21274 21302 21330 21358 21386 21414 21442 21470 21498 21526 21554 21582 21610 21638 21666 21694 21722 21750 21778 21806 21834
4 32 60 88 116 144 172 200 228 256 284 312 340 368 396 424 452 480 508 536 564 592 620 648 676 704 732 760 788 816 844 872 900 928 956 984 1012 1040 1068 1096 13820 13848 13876 13904 13932 13960 13988 14016 14044 14072 14100 14128 14156 14184 14212 14240 14268 14296 14324 14352 14380 14408 14436 14464 14492 14520 14548 14576 14604 14632 14660 14688 14716 14744 14772 14800 14828 14856 14884 14912
6912 6940 6968 6996 7024 7052 7080 7108 7136 7164 7192 7220 7248 7276 7304 7332 7360 7388 7416 7444 7472 7500 7528 7556 7584 7612 7640 7668 7696 7724 7752 7780 7808 7836 7864 7892 7920 7948 7976 8004 20741 20769 20797 20825 20853 20881 20909 20937 20965 20993 21021 21049 21077 21105 21133 21161 21189 21217 21245 21273 21301 21329 21357 21385 21413 21441 21469 21497 21525 21553 21581 21609 21637 21665 21693 21721 21749 21777 21805 21833
3 31 59 87 115 143 171 199 227 255 283 311 339 367 395 423 451 479 507 535 563 591 619 647 675 703 731 759 787 815 843 871 899 927 955 983 1011 1039 1067 1095 13819 13847 13875 13903 13931 13959 13987 14015 14043 14071 14099 14127 14155 14183 14211 14239 14267 14295 14323 14351 14379 14407 14435 14463 14491 14519 14547 14575 14603 14631 14659 14687 14715 14743 14771 14799 14827 14855 14883 14911
6911 6939 6967 6995 7023 7051 7079 7107 7135 7163 7191 7219 7247 7275 7303 7331 7359 7387 7415 7443 7471 7499 7527 7555 7583 7611 7639 7667 7695 7723 7751 7779 7807 7835 7863 7891 7919 7947 7975 8003 20740 20768 20796 20824 20852 20880 20908 20936 20964 20992 21020 21048 21076 21104 21132 21160 21188 21216 21244 21272 21300 21328 21356 21384 21412 21440 21468 21496 21524 21552 21580 21608 21636 21664 21692 21720 21748 21776 21804 21832
2 30 58 86 114 142 170 198 226 254 282 310 338 366 394 422 450 478 506 534 562 590 618 646 674 702 730 758 786 814 842 870 898 926 954 982 1010 1038 1066 1094 13818 13846 13874 13902 13930 13958 13986 14014 14042 14070 14098 14126 14154 14182 14210 14238 14266 14294 14322 14350 14378 14406 14434 14462 14490 14518 14546 14574 14602 14630 14658 14686 14714 14742 14770 14798 14826 14854 14882 14910
6910 6938 6966 6994 7022 7050 7078 7106 7134 7162 7190 7218 7246 7274 7302 7330 7358 7386 7414 7442 7470 7498 7526 7554 7582 7610 7638 7666 7694 7722 7750 7778 7806 7834 7862 7890 7918 7946 7974 8002 20739 20767 20795 20823 20851 20879 20907 20935 20963 20991 21019 21047 21075 21103 21131 21159 21187 21215 21243 21271 21299 21327 21355 21383 21411 21439 21467 21495 21523 21551 21579 21607 21635 21663 21691 21719 21747 21775 21803 21831
1 29 57 85 113 141 169 197 225 253 281 309 337 365 393 421 449 477 505 533 561 589 617 645 673 701 729 757 785 813 841 869 897 925 953 981 1009 1037 1065 1093 13817 13845 13873 13901 13929 13957 13985 14013 14041 14069 14097 14125 14153 14181 14209 14237 14265 14293 14321 14349 14377 14405 14433 14461 14489 14517 14545 14573 14601 14629 14657 14685 14713 14741 14769 14797 14825 14853 14881 14909
6909 6937 6965 6993 7021 7049 7077 7105 7133 7161 7189 7217 7245 7273 7301 7329 7357 7385 7413 7441 7469 7497 7525 7553 7581 7609 7637 7665 7693 7721 7749 7777 7805 7833 7861 7889 7917 7945 7973 8001 20738 20766 20794 20822 20850 20878 20906 20934 20962 20990 21018 21046 21074 21102 21130 21158 21186 21214 21242 21270 21298 21326 21354 21382 21410 21438 21466 21494 21522 21550 21578 21606 21634 21662 21690 21718 21746 21774 21802 21830
0 28 56 84 112 140 168 196 224 252 280 308 336 364 392 420 448 476 504 532 560 588 616 644 672 700 728 756 784 812 840 868 896 924 952 980 1008 1036 1064 1092 13816 13844 13872 13900 13928 13956 13984 14012 14040 14068 14096 14124 14152 14180 14208 14236 14264 14292 14320 14348 14376 14404 14432 14460 14488 14516 14544 14572 14600 14628 14656 14684 14712 14740 14768 14796 14824 14852 14880 14908
6908 6936 6964 6992 7020 7048 7076 7104 7132 7160 7188 7216 7244 7272 7300 7328 7356 7384 7412 7440 7468 7496 7524 7552 7580 7608 7636 7664 7692 7720 7748 7776 7804 7832 7860 7888 7916 7944 7972 8000 20737 20765 20793 20821 20849 20877 20905 20933 20961 20989 21017 21045 21073 21101 21129 21157 21185 21213 21241 21269 21297 21325 21353 21381 21409 21437 21465 21493 21521 21549 21577 21605 21633 21661 21689 21717 21745 21773 21801 21829
10588 10590 10592 10594 10596 10598 10600 10602 10604 10606 10608 10610 10612 10614 10616 10618 10620 10622 10624 10626 10628 10630 10632 10634 10636 10638 10640 10642 10644 10646 10648 10650 10652 10654 10656 10658 10660 10662 10664 10666 24417 24419 24421 24423 24425 24427 24429 24431 24433 24435 24437 24439 24441 24443 24445 24447 24449 24451 24453 24455 24457 24459 24461 24463 24465 24467 24469 24471 24473 24475 24477 24479 24481 24483 24485 24487 24489 24491 24493 24495
10589 10591 10593 10595 10597 10599 10601 10603 10605 10607 10609 10611 10613 10615 10617 10619 10621 10623 10625 10627 10629 10631 10633 10635 10637 10639 10641 10643 10645 10647 10649 10651 10653 10655 10657 10659 10661 10663 10665 10667 24418 24420 24422 24424 24426 24428 24430 24432 24434 24436 24438 24440 24442 24444 24446 24448 24450 24452 24454 24456 24458 24460 24462 24464 24466 24468 24470 24472 24474 24476 24478 24480 24482 24484 24486 24488 24490 24492 24494 24496
10668 10670 10672 10674 10676 10678 10680 10682 10684 10686 10688 10690 10692 10694 10696 10698 10700 10702 10704 10706 10708 10710 10712 10714 10716 10718 10720 10722 10724 10726 10728 10730 10732 10734 10736 10738 10740 10742 10744 10746 24497 24499 24501 24503 24505 24507 24509 24511 24513 24515 24517 24519 24521 24523 24525 24527 24529 24531 24533 24535 24537 24539 24541 24543 24545 24547 24549 24551 24553 24555 24557 24559 24561 24563 24565 24567 24569 24571 24573 24575
10669 10671 10673 10675 10677 10679 10681 10683 10685 10687 10689 10691 10693 10695 10697 10699 10701 10703 10705 10707 10709 10711 10713 10715 10717 10719 10721 10723 10725 10727 10729 10731 10733 10735 10737 10739 10741 10743 10745 10747 24498 24500 24502 24504 24506 24508 24510 24512 24514 24516 24518 24520 24522 24524 24526 24528 24530 24532 24534 24536 24538 24540 24542 24544 24546 24548 24550 24552 24554 24556 24558 24560 24562 24564 24566 24568 24570 24572 24574 24576
10748 10750 10752 10754 10756 10758 10760 10762 10764 10766 10768 10770 10772 10774 10776 10778 10780 10782 10784 10786 10788 10790 10792 10794 10796 10798 10800 10802 10804 10806 10808 10810 10812 10814 10816 10818 10820 10822 10824 10826 24577 24579 24581 24583 24585 24587 24589 24591 24593 24595 24597 24599 24601 24603 24605 24607 24609 24611 24613 24615 24617 24619 24621 24623 24625 24627 24629 24631 24633 24635 24637 24639 24641 24643 24645 24647 24649 24651 24653 24655
10749 10751 10753 10755 10757 10759 10761 10763 10765 10767 10769 10771 10773 10775 10777 10779 10781 10783 10785 10787 10789 10791 10793 10795 10797 10799 10801 10803 10805 10807 10809 10811 10813 10815 10817 10819 10821 10823 10825 10827 24578 24580 24582 24584 24586 24588 24590 24592 24594 24596 24598 24600 24602 24604 24606 24608 24610 24612 24614 24616 24618 24620 24622 24624 24626 24628 24630 24632 24634 24636 24638 24640 24642 24644 24646 24648 24650 24652 24654 24656
10508 10510 10512 10514 10516 10518 10520 10522 10524 10526 10528 10530 10532 10534 10536 10538 10540 10542 10544 10546 10548 10550 10552 10554 10556 10558 10560 10562 10564 10566 10568 10570 10572 10574 10576 10578 10580 10582 10584 10586 24337 24339 24341 24343 24345 24347 24349 24351 24353 24355 24357 24359 24361 24363 24365 24367 24369 24371 24373 24375 24377 24379 24381 24383 24385 24387 24389 24391 24393 24395 24397 24399 24401 24403 24405 24407 24409 24411 24413 24415
10509 10511 10513 10515 10517 10519 10521 10523 10525 10527 10529 10531 10533 10535 10537 10539 10541 10543 10545 10547 10549 10551 10553 10555 10557 10559 10561 10563 10565 10567 10569 10571 10573 10575 10577 10579 10581 10583 10585 10587 24338 24340 24342 24344 24346 24348 24350 24352 24354 24356 24358 24360 24362 24364 24366 24368 24370 24372 24374 24376 24378 24380 24382 24384 24386 24388 24390 24392 24394 24396 24398 24400 24402 24404 24406 24408 24410 24412 24414 24416
10428 10430 10432 10434 10436 10438 10440 10442 10444 10446 10448 10450 10452 10454 10456 10458 10460 10462 10464 10466 10468 10470 10472 10474 10476 10478 10480 10482 10484 10486 10488 10490 10492 10494 10496 10498 10500 10502 10504 10506 24257 24259 24261 24263 24265 24267 24269 24271 24273 24275 24277 24279 24281 24283 24285 24287 24289 24291 24293 24295 24297 24299 24301 24303 24305 24307 24309 24311 24313 24315 24317 24319 24321 24323 24325 24327 24329 24331 24333 24335
10429 10431 10433 10435 10437 10439 10441 10443 10445 10447 10449 10451 10453 10455 10457 10459 10461 10463 10465 10467 10469 10471 10473 10475 10477 10479 10481 10483 10485 10487 10489 10491 10493 10495 10497 10499 10501 10503 10505 10507 24258 24260 24262 24264 24266 24268 24270 24272 24274 24276 24278 24280 24282 24284 24286 24288 24290 24292 24294 24296 24298 24300 24302 24304 24306 24308 24310 24312 24314 24316 24318 24320 24322 24324 24326 24328 24330 24332 24334 24336
10348 10350 10352 10354 10356 10358 10360 10362 10364 10366 10368 10370 10372 10374 10376 10378 10380 10382 10384 10386 10388 10390 10392 10394 10396 10398 10400 10402 10404 10406 10408 10410 10412 10414 10416 10418 10420 10422 10424 10426 24177 24179 24181 24183 24185 24187 24189 24191 24193 24195 24197 24199 24201 24203 24205 24207 24209 24211 24213 24215 24217 24219 24221 24223 24225 24227 24229 24231 24233 24235 24237 24239 24241 24243 24245 24247 24249 24251 24253 24255
10349 10351 10353 10355 10357 10359 10361 10363 10365 10367 10369 10371 10373 10375 10377 10379 10381 10383 10385 10387 10389 10391 10393 10395 10397 10399 10401 10403 10405 10407 10409 10411 10413 10415 10417 10419 10421 10423 10425 10427 24178 24180 24182 24184 24186 24188 24190 24192 24194 24196 24198 24200 24202 24204 24206 24208 24210 24212 24214 24216 24218 24220 24222 24224 24226 24228 24230 24232 24234 24236 24238 24240 24242 24244 24246 24248 24250 24252 24254 24256
10828 10830 10832 10834 10836 10838 10840 10842 10844 10846 10848 10850 10852 10854 10856 10858 10860 10862 10864 10866 10868 10870 10872 10874 10876 10878 10880 10882 10884 10886 10888 10890 10892 10894 10896 10898 10900 10902 10904 10906 24657 24659 24661 24663 24665 24667 24669 24671 24673 24675 24677 24679 24681 24683 24685 24687 24689 24691 24693 24695 24697 24699 24701 24703 24705 24707 24709 24711 24713 24715 24717 24719 24721 24723 24725 24727 24729 24731 24733 24735
10829 10831 10833 10835 10837 10839 10841 10843 10845 10847 10849 10851 10853 10855 10857 10859 10861 10863 10865 10867 10869 10871 10873 10875 10877 10879 10881 10883 10885 10887 10889 10891 10893 10895 10897 10899 10901 10903 10905 10907 24658 24660 24662 24664 24666 24668 24670 24672 24674 24676 24678 24680 24682 24684 24686 24688 24690 24692 24694 24696 24698 24700 24702 24704 24706 24708 24710 24712 24714 24716 24718 24720 24722 24724 24726 24728 24730 24732 24734 24736
10908 10910 10912 10914 10916 10918 10920 10922 10924 10926 10928 10930 10932 10934 10936 10938 10940 10942 10944 10946 10948 10950 10952 10954 10956 10958 10960 10962 10964 10966 10968 10970 10972 10974 10976 10978 10980 10982 10984 10986 24737 24739 24741 24743 24745 24747 24749 24751 24753 24755 24757 24759 24761 24763 24765 24767 24769 24771 24773 24775 24777 24779 24781 24783 24785 24787 24789 24791 24793 24795 24797 24799 24801 24803 24805 24807 24809 24811 24813 24815
10909 10911 10913 10915 10917 10919 10921 10923 10925 10927 10929 10931 10933 10935 10937 10939 10941 10943 10945 10947 10949 10951 10953 10955 10957 10959 10961 10963 10965 10967 10969 10971 10973 10975 10977 10979 10981 10983 10985 10987 24738 24740 24742 24744 24746 24748 24750 24752 24754 24756 24758 24760 24762 24764 24766 24768 24770 24772 24774 24776 24778 24780 24782 24784 24786 24788 24790 24792 24794 24796 24798 24800 24802 24804 24806 24808 24810 24812 24814 24816
10988 10990 10992 10994 10996 10998 11000 11002 11004 11006 11008 11010 11012 11014 11016 11018 11020 11022 11024 11026 11028 11030 11032 11034 11036 11038 11040 11042 11044 11046 11048 11050 11052 11054 11056 11058 11060 11062 11064 11066 24817 24819 24821 24823 24825 24827 24829 24831 24833 24835 24837 24839 24841 24843 24845 24847 24849 24851 24853 24855 24857 24859 24861 24863 24865 24867 24869 24871 24873 24875 24877 24879 24881 24883 24885 24887 24889 24891 24893 24895
10989 10991 10993 10995 10997 10999 11001 11003 11005 11007 11009 11011 11013 11015 11017 11019 11021 11023 11025 11027 11029 11031 11033 11035 11037 11039 11041 11043 11045 11047 11049 11051 11053 11055 11057 11059 11061 11063 11065 11067 24818 24820 24822 24824 24826 24828 24830 24832 24834 24836 24838 24840 24842 24844 24846 24848 24850 24852 24854 24856 24858 24860 24862 24864 24866 24868 24870 24872 24874 24876 24878 24880 24882 24884 24886 24888 24890 24892 24894 24896
10268 10270 10272 10274 10276 10278 10280 10282 10284 10286 10288 10290 10292 10294 10296 10298 10300 10302 10304 10306 10308 10310 10312 10314 10316 10318 10320 10322 10324 10326 10328 10330 10332 10334 10336 10338 10340 10342 10344 10346 24097 24099 24101 24103 24105 24107 24109 24111 24113 24115 24117 24119 24121 24123 24125 24127 24129 24131 24133 24135 24137 24139 24141 24143 24145 24147 24149 24151 24153 24155 24157 24159 24161 24163 24165 24167 24169 24171 24173 24175
10269 10271 10273 10275 10277 10279 10281 10283 10285 10287 10289 10291 10293 10295 10297 10299 10301 10303 10305 10307 10309 10311 10313 10315 10317 10319 10321 10323 10325 10327 10329 10331 10333 10335 10337 10339 10341 10343 10345 10347 24098 24100 24102 24104 24106 24108 24110 24112 24114 24116 24118 24120 24122 24124 24126 24128 24130 24132 24134 24136 24138 24140 24142 24144 24146 24148 24150 24152 24154 24156 24158 24160 24162 24164 24166 24168 24170 24172 24174 24176
10188 10190 10192 10194 10196 10198 10200 10202 10204 10206 10208 10210 10212 10214 10216 10218 10220 10222 10224 10226 10228 10230 10232 10234 10236 10238 10240 10242 10244 10246 10248 10250 10252 10254 10256 10258 10260 10262 10264 10266 24017 24019 24021 24023 24025 24027 24029 24031 24033 24035 24037 24039 24041 24043 24045 24047 24049 24051 24053 24055 24057 24059 24061 24063 24065 24067 24069 24071 24073 24075 24077 24079 24081 24083 24085 24087 24089 24091 24093 24095
10189 10191 10193 10195 10197 10199 10201 10203 10205 10207 10209 10211 10213 10215 10217 10219 10221 10223 10225 10227 10229 10231 10233 10235 10237 10239 10241 10243 10245 10247 10249 10251 10253 10255 10257 10259 10261 10263 10265 10267 24018 24020 24022 24024 24026 24028 24030 24032 24034 24036 24038 24040 24042 24044 24046 24048 24050 24052 24054 24056 24058 24060 24062 24064 24066 24068 24070 24072 24074 24076 24078 24080 24082 24084 24086 24088 24090 24092 24094 24096
10108 10110 10112 10114 10116 10118 10120 10122 10124 10126 10128 10130 10132 10134 10136 10138 10140 10142 10144 10146 10148 10150 10152 10154 10156 10158 10160 10162 10164 10166 10168 10170 10172 10174 10176 10178 10180 10182 10184 10186 23937 23939 23941 23943 23945 23947 23949 23951 23953 23955 23957 23959 23961 23963 23965 23967 23969 23971 23973 23975 23977 23979 23981 23983 23985 23987 23989 23991 23993 23995 23997 23999 24001 24003 24005 24007 24009 24011 24013 24015
10109 10111 10113 10115 10117 10119 10121 10123 10125 10127 10129 10131 10133 10135 10137 10139 10141 10143 10145 10147 10149 10151 10153 10155 10157 10159 10161 10163 10165 10167 10169 10171 10173 10175 10177 10179 10181 10183 10185 10187 23938 23940 23942 23944 23946 23948 23950 23952 23954 23956 23958 23960 23962 23964 23966 23968 23970 23972 23974 23976 23978 23980 23982 23984 23986 23988 23990 23992 23994 23996 23998 24000 24002 24004 24006 24008 24010 24012 24014 24016
11068 11070 11072 11074 11076 11078 11080 11082 11084 11086 11088 11090 11092 11094 11096 11098 11100 11102 11104 11106 11108 11110 11112 11114 11116 11118 11120 11122 11124 11126 11128 11130 11132 11134 11136 11138 11140 11142 11144 11146 24897 24899 24901 24903 24905 24907 24909 24911 24913 24915 24917 24919 24921 24923 24925 24927 24929 24931 24933 24935 24937 24939 24941 24943 24945 24947 24949 24951 24953 24955 24957 24959 24961 24963 24965 24967 24969 24971 24973 24975
11069 11071 11073 11075 11077 11079 11081 11083 11085 11087 11089 11091 11093 11095 11097 11099 11101 11103 11105 11107 11109 11111 11113 11115 11117 11119 11121 11123 11125 11127 11129 11131 11133 11135 11137 11139 11141 11143 11145 11147 24898 24900 24902 24904 24906 24908 24910 24912 24914 24916 24918 24920 24922 24924 24926 24928 24930 24932 24934 24936 24938 24940 24942 24944 24946 24948 24950 24952 24954 24956 24958 24960 24962 24964 24966 24968 24970 24972 24974 24976
11148 11150 11152 11154 11156 11158 11160 11162 11164 11166 11168 11170 11172 11174 11176 11178 11180 11182 11184 11186 11188 11190 11192 11194 11196 11198 11200 11202 11204 11206 11208 11210 11212 11214 11216 11218 11220 11222 11224 11226 24977 24979 24981 24983 24985 24987 24989 24991 24993 24995 24997 24999 25001 25003 25005 25007 25009 25011 25013 25015 25017 25019 25021 25023 25025 25027 25029 25031 25033 25035 25037 25039 25041 25043 25045 25047 25049 25051 25053 25055
11149 11151 11153 11155 11157 11159 11161 11163 11165 11167 11169 11171 11173 11175 11177 11179 11181 11183 11185 11187 11189 11191 11193 11195 11197 11199 11201 11203 11205 11207 11209 11211 11213 11215 11217 11219 11221 11223 11225 11227 24978 24980 24982 24984 24986 24988 24990 24992 24994 24996 24998 25000 25002 25004 25006 25008 25010 25012 25014 25016 25018 25020 25022 25024 25026 25028 25030 25032 25034 25036 25038 25040 25042 25044 25046 25048 25050 25052 25054 25056
11228 11230 11232 11234 11236 11238 11240 11242 11244 11246 11248 11250 11252 11254 11256 11258 11260 11262 11264 11266 11268 11270 11272 11274 11276 11278 11280 11282 11284 11286 11288 11290 11292 11294 11296 11298 11300 11302 11304 11306 25057 25059 25061 25063 25065 25067 25069 25071 25073 25075 25077 25079 25081 25083 25085 25087 25089 25091 25093 25095 25097 25099 25101 25103 25105 25107 25109 25111 25113 25115 25117 25119 25121 25123 25125 25127 25129 25131 25133 25135
11229 11231 11233 11235 11237 11239 11241 11243 11245 11247 11249 11251 11253 11255 11257 11259 11261 11263 11265 11267 11269 11271 11273 11275 11277 11279 11281 11283 11285 11287 11289 11291 11293 11295 11297 11299 11301 11303 11305 11307 25058 25060 25062 25064 25066 25068 25070 25072 25074 25076 25078 25080 25082 25084 25086 25088 25090 25092 25094 25096 25098 25100 25102 25104 25106 25108 25110 25112 25114 25116 25118 25120 25122 25124 25126 25128 25130 25132 25134 25136
10028 10030 10032 10034 10036 10038 10040 10042 10044 10046 10048 10050 10052 10054 10056 10058 10060 10062 10064 10066 10068 10070 10072 10074 10076 10078 10080 10082 10084 10086 10088 10090 10092 10094 10096 10098 10100 10102 10104 10106 23857 23859 23861 23863 23865 23867 23869 23871 23873 23875 23877 23879 23881 23883 23885 23887 23889 23891 23893 23895 23897 23899 23901 23903 23905 23907 23909 23911 23913 23915 23917 23919 23921 23923 23925 23927 23929 23931 23933 23935
10029 10031 10033 10035 10037 10039 10041 10043 10045 10047 10049 10051 10053 10055 10057 10059 10061 10063 10065 10067 10069 10071 10073 10075 10077 10079 10081 10083 10085 10087 10089 10091 10093 10095 10097 10099 10101 10103 10105 10107 23858 23860 23862 23864 23866 23868 23870 23872 23874 23876 23878 23880 23882 23884 23886 23888 23890 23892 23894 23896 23898 23900 23902 23904 23906 23908 23910 23912 23914 23916 23918 23920 23922 23924 23926 23928 23930 23932 23934 23936
9948 9950 9952 9954 9956 9958 9960 9962 9964 9966 9968 9970 9972 9974 9976 9978 9980 9982 9984 9986 9988 9990 9992 9994 9996 9998 10000 10002 10004 10006 10008 10010 10012 10014 10016 10018 10020 10022 10024 10026 23777 23779 23781 23783 23785 23787 23789 23791 23793 23795 23797 23799 23801 23803 23805 23807 23809 23811 23813 23815 23817 23819 23821 23823 23825 23827 23829 23831 23833 23835 23837 23839 23841 23843 23845 23847 23849 23851 23853 23855
9949 9951 9953 9955 9957 9959 9961 9963 9965 9967 9969 9971 9973 9975 9977 9979 9981 9983 9985 9987 9989 9991 9993 9995 9997 9999 10001 10003 10005 10007 10009 10011 10013 10015 10017 10019 10021 10023 10025 10027 23778 23780 23782 23784 23786 23788 23790 23792 23794 23796 23798 23800 23802 23804 23806 23808 23810 23812 23814 23816 23818 23820 23822 23824 23826 23828 23830 23832 23834 23836 23838 23840 23842 23844 23846 23848 23850 23852 23854 23856
9868 9870 9872 9874 9876 9878 9880 9882 9884 9886 9888 9890 9892 9894 9896 9898 9900 9902 9904 9906 9908 9910 9912 9914 9916 9918 9920 9922 9924 9926 9928 9930 9932 9934 9936 9938 9940 9942 9944 9946 23697 23699 23701 23703 23705 23707 23709 23711 23713 23715 23717 23719 23721 23723 23725 23727 23729 23731 23733 23735 23737 23739 23741 23743 23745 23747 23749 23751 23753 23755 23757 23759 23761 23763 23765 23767 23769 23771 23773 23775
9869 9871 9873 9875 9877 9879 9881 9883 9885 9887 9889 9891 9893 9895 9897 9899 9901 9903 9905 9907 9909 9911 9913 9915 9917 9919 9921 9923 9925 9927 9929 9931 9933 9935 9937 9939 9941 9943 9945 9947 23698 23700 23702 23704 23706 23708 23710 23712 23714 23716 23718 23720 23722 23724 23726 23728 23730 23732 23734 23736 23738 23740 23742 23744 23746 23748 23750 23752 23754 23756 23758 23760 23762 23764 23766 23768 23770 23772 23774 23776
11308 11310 11312 11314 11316 11318 11320 11322 11324 11326 11328 11330 11332 11334 11336 11338 11340 11342 11344 11346 11348 11350 11352 11354 11356 11358 11360 11362 11364 11366 11368 11370 11372 11374 11376 11378 11380 11382 11384 11386 25137 25139 25141 25143 25145 25147 25149 25151 25153 25155 25157 25159 25161 25163 25165 25167 25169 25171 25173 25175 25177 25179 25181 25183 25185 25187 25189 25191 25193 25195 25197 25199 25201 25203 25205 25207 25209 25211 25213 25215
11309 11311 11313 11315 11317 11319 11321 11323 11325 11327 11329 11331 11333 11335 11337 11339 11341 11343 11345 11347 11349 11351 11353 11355 11357 11359 11361 11363 11365 11367 11369 11371 11373 11375 11377 11379 11381 11383 11385 11387 25138 25140 25142 25144 25146 25148 25150 25152 25154 25156 25158 25160 25162 25164 25166 25168 25170 25172 25174 25176 25178 25180 25182 25184 25186 25188 25190 25192 25194 25196 25198 25200 25202 25204 25206 25208 25210 25212 25214 25216
11388 11390 11392 11394 11396 11398 11400 11402 11404 11406 11408 11410 11412 11414 11416 11418 11420 11422 11424 11426 11428 11430 11432 11434 11436 11438 11440 11442 11444 11446 11448 11450 11452 11454 11456 11458 11460 11462 11464 11466 25217 25219 25221 25223 25225 25227 25229 25231 25233 25235 25237 25239 25241 25243 25245 25247 25249 25251 25253 25255 25257 25259 25261 25263 25265 25267 25269 25271 25273 25275 25277 25279 25281 25283 25285 25287 25289 25291 25293 25295
11389 11391 11393 11395 11397 11399 11401 11403 11405 11407 11409 11411 11413 11415 11417 11419 11421 11423 11425 11427 11429 11431 11433 11435 11437 11439 11441 11443 11445 11447 11449 11451 11453 11455 11457 11459 11461 11463 11465 11467 25218 25220 25222 25224 25226 25228 25230 25232 25234 25236 25238 25240 25242 25244 25246 25248 25250 25252 25254 25256 25258 25260 25262 25264 25266 25268 25270 25272 25274 25276 25278 25280 25282 25284 25286 25288 25290 25292 25294 25296
11468 11470 11472 11474 11476 11478 11480 11482 11484 11486 11488 11490 11492 11494 11496 11498 11500 11502 11504 11506 11508 11510 11512 11514 11516 11518 11520 11522 11524 11526 11528 11530 11532 11534 11536 11538 11540 11542 11544 11546 25297 25299 25301 25303 25305 25307 25309 25311 25313 25315 25317 25319 25321 25323 25325 25327 25329 25331 25333 25335 25337 25339 25341 25343 25345 25347 25349 25351 25353 25355 25357 25359 25361 25363 25365 25367 25369 25371 25373 25375
11469 11471 11473 11475 11477 11479 11481 11483 11485 11487 11489 11491 11493 11495 11497 11499 11501 11503 11505 11507 11509 11511 11513 11515 11517 11519 11521 11523 11525 11527 11529 11531 11533 11535 11537 11539 11541 11543 11545 11547 25298 25300 25302 25304 25306 25308 25310 25312 25314 25316 25318 25320 25322 25324 25326 25328 25330 25332 25334 25336 25338 25340 25342 25344 25346 25348 25350 25352 25354 25356 25358 25360 25362 25364 25366 25368 25370 25372 25374 25376
9788 9790 9792 9794 9796 9798 9800 9802 9804 9806 9808 9810 9812 9814 9816 9818 9820 9822 9824 9826 9828 9830 9832 9834 9836 9838 9840 9842 9844 9846 9848 9850 9852 9854 9856 9858 9860 9862 9864 9866 23617 23619 23621 23623 23625 23627 23629 23631 23633 23635 23637 23639 23641 23643 23645 23647 23649 23651 23653 23655 23657 23659 23661 23663 23665 23667 23669 23671 23673 23675 23677 23679 23681 23683 23685 23687 23689 23691 23693 23695
9789 9791 9793 9795 9797 9799 9801 9803 9805 9807 9809 9811 9813 9815 9817 9819 9821 9823 9825 9827 9829 9831 9833 9835 9837 9839 9841 9843 9845 9847 9849 9851 9853 9855 9857 9859 9861 9863 9865 9867 23618 23620 23622 23624 23626 23628 23630 23632 23634 23636 23638 23640 23642 23644 23646 23648 23650 23652 23654 23656 23658 23660 23662 23664 23666 23668 23670 23672 23674 23676 23678 23680 23682 23684 23686 23688 23690 23692 23694 23696
9708 9710 9712 9714 9716 9718 9720 9722 9724 9726 9728 9730 9732 9734 9736 9738 9740 9742 9744 9746 9748 9750 9752 9754 9756 9758 9760 9762 9764 9766 9768 9770 9772 9774 9776 9778 9780 9782 9784 9786 23537 23539 23541 23543 23545 23547 23549 23551 23553 23555 23557 23559 23561 23563 23565 23567 23569 23571 23573 23575 23577 23579 23581 23583 23585 23587 23589 23591 23593 23595 23597 23599 23601 23603 23605 23607 23609 23611 23613 23615
9709 9711 9713 9715 9717 9719 9721 9723 9725 9727 9729 9731 9733 9735 9737 9739 9741 9743 9745 9747 9749 9751 9753 9755 9757 9759 9761 9763 9765 9767 9769 9771 9773 9775 9777 9779 9781 9783 9785 9787 23538 23540 23542 23544 23546 23548 23550 23552 23554 23556 23558 23560 23562 23564 23566 23568 23570 23572 23574 23576 23578 23580 23582 23584 23586 23588 23590 23592 23594 23596 23598 23600 23602 23604 23606 23608 23610 23612 23614 23616
9628 9630 9632 9634 9636 9638 9640 9642 9644 9646 9648 9650 9652 9654 9656 9658 9660 9662 9664 9666 9668 9670 9672 9674 9676 9678 9680 9682 9684 9686 9688 9690 9692 9694 9696 9698 9700 9702 9704 9706 23457 23459 23461 23463 23465 23467 23469 23471 23473 23475 23477 23479 23481 23483 23485 23487 23489 23491 23493 23495 23497 23499 23501 23503 23505 23507 23509 23511 23513 23515 23517 23519 23521 23523 23525 23527 23529 23531 23533 23535
9629 9631 9633 9635 9637 9639 9641 9643 9645 9647 9649 9651 9653 9655 9657 9659 9661 9663 9665 9667 9669 9671 9673 9675 9677 9679 9681 9683 9685 9687 9689 9691 9693 9695 9697 9699 9701 9703 9705 9707 23458 23460 23462 23464 23466 23468 23470 23472 23474 23476 23478 23480 23482 23484 23486 23488 23490 23492 23494 23496 23498 23500 23502 23504 23506 23508 23510 23512 23514 23516 23518 23520 23522 23524 23526 23528 23530 23532 23534 23536
11548 11550 11552 11554 11556 11558 11560 11562 11564 11566 11568 11570 11572 11574 11576 11578 11580 11582 11584 11586 11588 11590 11592 11594 11596 11598 11600 11602 11604 11606 11608 11610 11612 11614 11616 11618 11620 11622 11624 11626 25377 25379 25381 25383 25385 25387 25389 25391 25393 25395 25397 25399 25401 25403 25405 25407 25409 25411 25413 25415 25417 25419 25421 25423 25425 25427 25429 25431 25433 25435 25437 25439 25441 25443 25445 25447 25449 25451 25453 25455
11549 11551 11553 11555 11557 11559 11561 11563 11565 11567 11569 11571 11573 11575 11577 11579 11581 11583 11585 11587 11589 11591 11593 11595 11597 11599 11601 11603 11605 11607 11609 11611 11613 11615 11617 11619 11621 11623 11625 11627 25378 25380 25382 25384 25386 25388 25390 25392 25394 25396 25398 25400 25402 25404 25406 25408 25410 25412 25414 25416 25418 25420 25422 25424 25426 25428 25430 25432 25434 25436 25438 25440 25442 25444 25446 25448 25450 25452 25454 25456
11628 11630 11632 11634 11636 11638 11640 11642 11644 11646 11648 11650 11652 11654 11656 11658 11660 11662 11664 11666 11668 11670 11672 11674 11676 11678 11680 11682 11684 11686 11688 11690 11692 11694 11696 11698 11700 11702 11704 11706 25457 25459 25461 25463 25465 25467 25469 25471 25473 25475 25477 25479 25481 25483 25485 25487 25489 25491 25493 25495 25497 25499 25501 25503 25505 25507 25509 25511 25513 25515 25517 25519 25521 25523 25525 25527 25529 25531 25533 25535
11629 11631 11633 11635 11637 11639 11641 11643 11645 11647 11649 11651 11653 11655 11657 11659 11661 11663 11665 11667 11669 11671 11673 11675 11677 11679 11681 11683 11685 11687 11689 11691 11693 11695 11697 11699 11701 11703 11705 11707 25458 25460 25462 25464 25466 25468 25470 25472 25474 25476 25478 25480 25482 25484 25486 25488 25490 25492 25494 25496 25498 25500 25502 25504 25506 25508 25510 25512 25514 25516 25518 25520 25522 25524 25526 25528 25530 25532 25534 25536
11708 11710 11712 11714 11716 11718 11720 11722 11724 11726 11728 11730 11732 11734 11736 11738 11740 11742 11744 11746 11748 11750 11752 11754 11756 11758 11760 11762 11764 11766 11768 11770 11772 11774 11776 11778 11780 11782 11784 11786 25537 25539 25541 25543 25545 25547 25549 25551 25553 25555 25557 25559 25561 25563 25565 25567 25569 25571 25573 25575 25577 25579 25581 25583 25585 25587 25589 25591 25593 25595 25597 25599 25601 25603 25605 25607 25609 25611 25613 25615
11709 11711 11713 11715 11717 11719 11721 11723 11725 11727 11729 11731 11733 11735 11737 11739 11741 11743 11745 11747 11749 11751 11753 11755 11757 11759 11761 11763 11765 11767 11769 11771 11773 11775 11777 11779 11781 11783 11785 11787 25538 25540 25542 25544 25546 25548 25550 25552 25554 25556 25558 25560 25562 25564 25566 25568 25570 25572 25574 25576 25578 25580 25582 25584 25586 25588 25590 25592 25594 25596 25598 25600 25602 25604 25606 25608 25610 25612 25614 25616
9548 9550 9552 9554 9556 9558 9560 9562 9564 9566 9568 9570 9572 9574 9576 9578 9580 9582 9584 9586 9588 9590 9592 9594 9596 9598 9600 9602 9604 9606 9608 9610 9612 9614 9616 9618 9620 9622 9624 9626 23377 23379 23381 23383 23385 23387 23389 23391 23393 23395 23397 23399 23401 23403 23405 23407 23409 23411 23413 23415 23417 23419 23421 23423 23425 23427 23429 23431 23433 23435 23437 23439 23441 23443 23445 23447 23449 23451 23453 23455
9549 9551 9553 9555 9557 9559 9561 9563 9565 9567 9569 9571 9573 9575 9577 9579 9581 9583 9585 9587 9589 9591 9593 9595 9597 9599 9601 9603 9605 9607 9609 9611 9613 9615 9617 9619 9621 9623 9625 9627 23378 23380 23382 23384 23386 23388 23390 23392 23394 23396 23398 23400 23402 23404 23406 23408 23410 23412 23414 23416 23418 23420 23422 23424 23426 23428 23430 23432 23434 23436 23438 23440 23442 23444 23446 23448 23450 23452 23454 23456
9468 9470 9472 9474 9476 9478 9480 9482 9484 9486 9488 9490 9492 9494 9496 9498 9500 9502 9504 9506 9508 9510 9512 9514 9516 9518 9520 9522 9524 9526 9528 9530 9532 9534 9536 9538 9540 9542 9544 9546 23297 23299 23301 23303 23305 23307 23309 23311 23313 23315 23317 23319 23321 23323 23325 23327 23329 23331 23333 23335 23337 23339 23341 23343 23345 23347 23349 23351 23353 23355 23357 23359 23361 23363 23365 23367 23369 23371 23373 23375
9469 9471 9473 9475 9477 9479 9481 9483 9485 9487 9489 9491 9493 9495 9497 9499 9501 9503 9505 9507 9509 9511 9513 9515 9517 9519 9521 9523 9525 9527 9529 9531 9533 9535 9537 9539 9541 9543 9545 9547 23298 23300 23302 23304 23306 23308 23310 23312 23314 23316 23318 23320 23322 23324 23326 23328 23330 23332 23334 23336 23338 23340 23342 23344 23346 23348 23350 23352 23354 23356 23358 23360 23362 23364 23366 23368 23370 23372 23374 23376
9388 9390 9392 9394 9396 9398 9400 9402 9404 9406 9408 9410 9412 9414 9416 9418 9420 9422 9424 9426 9428 9430 9432 9434 9436 9438 9440 9442 9444 9446 9448 9450 9452 9454 9456 9458 9460 9462 9464 9466 23217 23219 23221 23223 23225 23227 23229 23231 23233 23235 23237 23239 23241 23243 23245 23247 23249 23251 23253 23255 23257 23259 23261 23263 23265 23267 23269 23271 23273 23275 23277 23279 23281 23283 23285 23287 23289 23291 23293 23295
9389 9391 9393 9395 9397 9399 9401 9403 9405 9407 9409 9411 9413 9415 9417 9419 9421 9423 9425 9427 9429 9431 9433 9435 9437 9439 9441 9443 9445 9447 9449 9451 9453 9455 9457 9459 9461 9463 9465 9467 23218 23220 23222 23224 23226 23228 23230 23232 23234 23236 23238 23240 23242 23244 23246 23248 23250 23252 23254 23256 23258 23260 23262 23264 23266 23268 23270 23272 23274 23276 23278 23280 23282 23284 23286 23288 23290 23292 23294 23296
11788 11790 11792 11794 11796 11798 11800 11802 11804 11806 11808 11810 11812 11814 11816 11818 11820 11822 11824 11826 11828 11830 11832 11834 11836 11838 11840 11842 11844 11846 11848 11850 11852 11854 11856 11858 11860 11862 11864 11866 25617 25619 25621 25623 25625 25627 25629 25631 25633 25635 25637 25639 25641 25643 25645 25647 25649 25651 25653 25655 25657 25659 25661 25663 25665 25667 25669 25671 25673 25675 25677 25679 25681 25683 25685 25687 25689 25691 25693 25695
11789 11791 11793 11795 11797 11799 11801 11803 11805 11807 11809 11811 11813 11815 11817 11819 11821 11823 11825 11827 11829 11831 11833 11835 11837 11839 11841 11843 11845 11847 11849 11851 11853 11855 11857 11859 11861 11863 11865 11867 25618 25620 25622 25624 25626 25628 25630 25632 25634 25636 25638 25640 25642 25644 25646 25648 25650 25652 25654 25656 25658 25660 25662 25664 25666 25668 25670 25672 25674 25676 25678 25680 25682 25684 25686 25688 25690 25692 25694 25696
11868 11870 11872 11874 11876 11878 11880 11882 11884 11886 11888 11890 11892 11894 11896 11898 11900 11902 11904 11906 11908 11910 11912 11914 11916 11918 11920 11922 11924 11926 11928 11930 11932 11934 11936 11938 11940 11942 11944 11946 25697 25699 25701 25703 25705 25707 25709 25711 25713 25715 25717 25719 25721 25723 25725 25727 25729 25731 25733 25735 25737 25739 25741 25743 25745 25747 25749 25751 25753 25755 25757 25759 25761 25763 25765 25767 25769 25771 25773 25775
11869 11871 11873 11875 11877 11879 11881 11883 11885 11887 11889 11891 11893 11895 11897 11899 11901 11903 11905 11907 11909 11911 11913 11915 11917 11919 11921 11923 11925 11927 11929 11931 11933 11935 11937 11939 11941 11943 11945 11947 25698 25700 25702 25704 25706 25708 25710 25712 25714 25716 25718 25720 25722 25724 25726 25728 25730 25732 25734 25736 25738 25740 25742 25744 25746 25748 25750 25752 25754 25756 25758 25760 25762 25764 25766 25768 25770 25772 25774 25776
11948 11950 11952 11954 11956 11958 11960 11962 11964 11966 11968 11970 11972 11974 11976 11978 11980 11982 11984 11986 11988 11990 11992 11994 11996 11998 12000 12002 12004 12006 12008 12010 12012 12014 12016 12018 12020 12022 12024 12026 25777 25779 25781 25783 25785 25787 25789 25791 25793 25795 25797 25799 25801 25803 25805 25807 25809 25811 25813 25815 25817 25819 25821 25823 25825 25827 25829 25831 25833 25835 25837 25839 25841 25843 25845 25847 25849 25851 25853 25855
11949 11951 11953 11955 11957 11959 11961 11963 11965 11967 11969 11971 11973 11975 11977 11979 11981 11983 11985 11987 11989 11991 11993 11995 11997 11999 12001 12003 12005 12007 12009 12011 12013 12015 12017 12019 12021 12023 12025 12027 25778 25780 25782 25784 25786 25788 25790 25792 25794 25796 25798 25800 25802 25804 25806 25808 25810 25812 25814 25816 25818 25820 25822 25824 25826 25828 25830 25832 25834 25836 25838 25840 25842 25844 25846 25848 25850 25852 25854 25856
9308 9310 9312 9314 9316 9318 9320 9322 9324 9326 9328 9330 9332 9334 9336 9338 9340 9342 9344 9346 9348 9350 9352 9354 9356 9358 9360 9362 9364 9366 9368 9370 9372 9374 9376 9378 9380 9382 9384 9386 23137 23139 23141 23143 23145 23147 23149 23151 23153 23155 23157 23159 23161 23163 23165 23167 23169 23171 23173 23175 23177 23179 23181 23183 23185 23187 23189 23191 23193 23195 23197 23199 23201 23203 23205 23207 23209 23211 23213 23215
9309 9311 9313 9315 9317 9319 9321 9323 9325 9327 9329 9331 9333 9335 9337 9339 9341 9343 9345 9347 9349 9351 9353 9355 9357 9359 9361 9363 9365 9367 9369 9371 9373 9375 9377 9379 9381 9383 9385 9387 23138 23140 23142 23144 23146 23148 23150 23152 23154 23156 23158 23160 23162 23164 23166 23168 23170 23172 23174 23176 23178 23180 23182 23184 23186 23188 23190 23192 23194 23196 23198 23200 23202 23204 23206 23208 23210 23212 23214 23216
9228 9230 9232 9234 9236 9238 9240 9242 9244 9246 9248 9250 9252 9254 9256 9258 9260 9262 9264 9266 9268 9270 9272 9274 9276 9278 9280 9282 9284 9286 9288 9290 9292 9294 9296 9298 9300 9302 9304 9306 23057 23059 23061 23063 23065 23067 23069 23071 23073 23075 23077 23079 23081 23083 23085 23087 23089 23091 23093 23095 23097 23099 23101 23103 23105 23107 23109 23111 23113 23115 23117 23119 23121 23123 23125 23127 23129 23131 23133 23135
9229 9231 9233 9235 9237 9239 9241 9243 9245 9247 9249 9251 9253 9255 9257 9259 9261 9263 9265 9267 9269 9271 9273 9275 9277 9279 9281 9283 9285 9287 9289 9291 9293 9295 9297 9299 9301 9303 9305 9307 23058 23060 23062 23064 23066 23068 23070 23072 23074 23076 23078 23080 23082 23084 23086 23088 23090 23092 23094 23096 23098 23100 23102 23104 23106 23108 23110 23112 23114 23116 23118 23120 23122 23124 23126 23128 23130 23132 23134 23136
9148 9150 9152 9154 9156 9158 9160 9162 9164 9166 9168 9170 9172 9174 9176 9178 9180 9182 9184 9186 9188 9190 9192 9194 9196 9198 9200 9202 9204 9206 9208 9210 9212 9214 9216 9218 9220 9222 9224 9226 22977 22979 22981 22983 22985 22987 22989 22991 22993 22995 22997 22999 23001 23003 23005 23007 23009 23011 23013 23015 23017 23019 23021 23023 23025 23027 23029 23031 23033 23035 23037 23039 23041 23043 23045 23047 23049 23051 23053 23055
9149 9151 9153 9155 9157 9159 9161 9163 9165 9167 9169 9171 9173 9175 9177 9179 9181 9183 9185 9187 9189 9191 9193 9195 9197 9199 9201 9203 9205 9207 9209 9211 9213 9215 9217 9219 9221 9223 9225 9227 22978 22980 22982 22984 22986 22988 22990 22992 22994 22996 22998 23000 23002 23004 23006 23008 23010 23012 23014 23016 23018 23020 23022 23024 23026 23028 23030 23032 23034 23036 23038 23040 23042 23044 23046 23048 23050 23052 23054 23056
12028 12030 12032 12034 12036 12038 12040 12042 12044 12046 12048 12050 12052 12054 12056 12058 12060 12062 12064 12066 12068 12070 12072 12074 12076 12078 12080 12082 12084 12086 12088 12090 12092 12094 12096 12098 12100 12102 12104 12106 25857 25859 25861 25863 25865 25867 25869 25871 25873 25875 25877 25879 25881 25883 25885 25887 25889 25891 25893 25895 25897 25899 25901 25903 25905 25907 25909 25911 25913 25915 25917 25919 25921 25923 25925 25927 25929 25931 25933 25935
12029 12031 12033 12035 12037 12039 12041 12043 12045 12047 12049 12051 12053 12055 12057 12059 12061 12063 12065 12067 12069 12071 12073 12075 12077 12079 12081 12083 12085 12087 12089 12091 12093 12095 12097 12099 12101 12103 12105 12107 25858 25860 25862 25864 25866 25868 25870 25872 25874 25876 25878 25880 25882 25884 25886 25888 25890 25892 25894 25896 25898 25900 25902 25904 25906 25908 25910 25912 25914 25916 25918 25920 25922 25924 25926 25928 25930 25932 25934 25936
12108 12110 12112 12114 12116 12118 12120 12122 12124 12126 12128 12130 12132 12134 12136 12138 12140 12142 12144 12146 12148 12150 12152 12154 12156 12158 12160 12162 12164 12166 12168 12170 12172 12174 12176 12178 12180 12182 12184 12186 25937 25939 25941 25943 25945 25947 25949 25951 25953 25955 25957 25959 25961 25963 25965 25967 25969 25971 25973 25975 25977 25979 25981 25983 25985 25987 25989 25991 25993 25995 25997 25999 26001 26003 26005 26007 26009 26011 26013 26015
12109 12111 12113 12115 12117 12119 12121 12123 12125 12127 12129 12131 12133 12135 12137 12139 12141 12143 12145 12147 12149 12151 12153 12155 12157 12159 12161 12163 12165 12167 12169 12171 12173 12175 12177 12179 12181 12183 12185 12187 25938 25940 25942 25944 25946 25948 25950 25952 25954 25956 25958 25960 25962 25964 25966 25968 25970 25972 25974 25976 25978 25980 25982 25984 25986 25988 25990 25992 25994 25996 25998 26000 26002 26004 26006 26008 26010 26012 26014 26016
12188 12190 12192 12194 12196 12198 12200 12202 12204 12206 12208 12210 12212 12214 12216 12218 12220 12222 12224 12226 12228 12230 12232 12234 12236 12238 12240 12242 12244 12246 12248 12250 12252 12254 12256 12258 12260 12262 12264 12266 26017 26019 26021 26023 26025 26027 26029 26031 26033 26035 26037 26039 26041 26043 26045 26047 26049 26051 26053 26055 26057 26059 26061 26063 26065 26067 26069 26071 26073 26075 26077 26079 26081 26083 26085 26087 26089 26091 26093 26095
12189 12191 12193 12195 12197 12199 12201 12203 12205 12207 12209 12211 12213 12215 12217 12219 12221 12223 12225 12227 12229 12231 12233 12235 12237 12239 12241 12243 12245 12247 12249 12251 12253 12255 12257 12259 12261 12263 12265 12267 26018 26020 26022 26024 26026 26028 26030 26032 26034 26036 26038 26040 26042 26044 26046 26048 26050 26052 26054 26056 26058 26060 26062 26064 26066 26068 26070 26072 26074 26076 26078 26080 26082 26084 26086 26088 26090 26092 26094 26096
9068 9070 9072 9074 9076 9078 9080 9082 9084 9086 9088 9090 9092 9094 9096 9098 9100 9102 9104 9106 9108 9110 9112 9114 9116 9118 9120 9122 9124 9126 9128 9130 9132 9134 9136 9138 9140 9142 9144 9146 22897 22899 22901 22903 22905 22907 22909 22911 22913 22915 22917 22919 22921 22923 22925 22927 22929 22931 22933 22935 22937 22939 22941 22943 22945 22947 22949 22951 22953 22955 22957 22959 22961 22963 22965 22967 22969 22971 22973 22975 spare
9069 9071 9073 9075 9077 9079 9081 9083 9085 9087 9089 9091 9093 9095 9097 9099 9101 9103 9105 9107 9109 9111 9113 9115 9117 9119 9121 9123 9125 9127 9129 9131 9133 9135 9137 9139 9141 9143 9145 9147 22898 22900 22902 22904 22906 22908 22910 22912 22914 22916 22918 22920 22922 22924 22926 22928 22930 22932 22934 22936 22938 22940 22942 22944 22946 22948 22950 22952 22954 22956 22958 22960 22962 22964 22966 22968 22970 22972 22974 22976 spare
8988 8990 8992 8994 8996 8998 9000 9002 9004 9006 9008 9010 9012 9014 9016 9018 9020 9022 9024 9026 9028 9030 9032 9034 9036 9038 9040 9042 9044 9046 9048 9050 9052 9054 9056 9058 9060 9062 9064 9066 22817 22819 22821 22823 22825 22827 22829 22831 22833 22835 22837 22839 22841 22843 22845 22847 22849 22851 22853 22855 22857 22859 22861 22863 22865 22867 22869 22871 22873 22875 22877 22879 22881 22883 22885 22887 22889 22891 22893 22895 spare
8989 8991 8993 8995 8997 8999 9001 9003 9005 9007 9009 9011 9013 9015 9017 9019 9021 9023 9025 9027 9029 9031 9033 9035 9037 9039 9041 9043 9045 9047 9049 9051 9053 9055 9057 9059 9061 9063 9065 9067 22818 22820 22822 22824 22826 22828 22830 22832 22834 22836 22838 22840 22842 22844 22846 22848 22850 22852 22854 22856 22858 22860 22862 22864 22866 22868 22870 22872 22874 22876 22878 22880 22882 22884 22886 22888 22890 22892 22894 22896 spare
8908 8910 8912 8914 8916 8918 8920 8922 8924 8926 8928 8930 8932 8934 8936 8938 8940 8942 8944 8946 8948 8950 8952 8954 8956 8958 8960 8962 8964 8966 8968 8970 8972 8974 8976 8978 8980 8982 8984 8986 22737 22739 22741 22743 22745 22747 22749 22751 22753 22755 22757 22759 22761 22763 22765 22767 22769 22771 22773 22775 22777 22779 22781 22783 22785 22787 22789 22791 22793 22795 22797 22799 22801 22803 22805 22807 22809 22811 22813 22815 user_0
8909 8911 8913 8915 8917 8919 8921 8923 8925 8927 8929 8931 8933 8935 8937 8939 8941 8943 8945 8947 8949 8951 8953 8955 8957 8959 8961 8963 8965 8967 8969 8971 8973 8975 8977 8979 8981 8983 8985 8987 22738 22740 22742 22744 22746 22748 22750 22752 22754 22756 22758 22760 22762 22764 22766 22768 22770 22772 22774 22776 22778 22780 22782 22784 22786 22788 22790 22792 22794 22796 22798 22800 22802 22804 22806 22808 22810 22812 22814 22816 user_1
... 453 more lines ...
|
Attachment 4: macb_apr20.jed
|
481
|
Tue Jan 30 09:14:50 2024 |
JM, TD | Tuesday 30 January |
09.00 CARME IE514 5.1e-11mbar
09.15 IE514 not working - one of cables disconnected?
DSSSD #1 bias cable changed from SHV - Lemo 00.250 to SHV - 2x Lemo 00.250
DSSSD #1 & #2 LK1 removed from n+n Ohmic side bias FEE64s
aida11 HDMI cabling re-seated at MACB
10.26 DSSSD bias OK - attachment 1
Ambient temperature +16.2 deg C
10.30 ADC data item stats OK - attachment 2
aida06 & aida15 > 100k, all others c. 3k consistent with 50Hz pulser
10.34 per FEE64 Rate spectra - attachment 3
OK except for known issues aida05, aida07 and aida16 - all others OK
per p+n FEE64s 1.8.L spectra - attachment 4
pulser peak width 52 ch FWHM aida11- double peaking DSSSD #1 & #2
per n+n FEE64s 1.8.L spectra - attachment 7
pulser peak width 77 ch FWHM aida04 - more uniform noise across all DSSSDs
10.42 per FEE64 1.8.W spectra - 20us FSR - attachments 5-6
11:00 LK2 removed from aida01-04. Configuration for all adaptor cards/FEE modules is now the same. No other change to the setup.
12:00 FEEs power on, bias to 150 V. No change in bias observed.
12.02 ADC data item stats OK
aida06 & aida15 > 100k, all others c. 3k consistent with 50Hz pulser
12.07 per FEE64 Rate spectra
OK except for known issues aida05, aida07 and aida16 - all others OK
12:09 per p+n FEE64s 1.8.L spectra
We now observe a large peak around chn 30,000 on all FEEs. Perhaps an effect of some change in the ring?
pulser peak width 53 ch FWHM aida11- still observe double peaking DSSSD #1 & #2
per n+n FEE64s 1.8.L spectra
Lots of events at ~chn 65,000 - double peaking now observed on aida03 and aida04
pulser peak width 93 ch FWHM aida14
12.20 per FEE64 1.8.W spectra - 20us FSR
Perhaps bias was off while acquiring and spectra was not cleared properly. Re-do tests
13:40 ADC data item stats OK - attachment 7
aida06 & aida15 > 100k, all others c. 3k consistent with 50Hz pulser
per FEE64 Rate spectra - attachment 8
OK except for known issues aida05, aida07 and aida16 - all others OK
per p+n FEE64s 1.8.L spectra - attachment 9
pulser peak width 55 ch FWHM aida11- double peaking DSSSD #1 & #2
per n+n FEE64s 1.8.L spectra - attachment 10
pulser peak width 65 ch FWHM aida14 - more uniform noise across all DSSSDs
per FEE64 1.8.W spectra - 20us FSR - attachments 11-12
With help from NH updated firmware on all MACB modules to version 4/20 - see https://elog.ph.ed.ac.uk/CARME/482
Timestamps for all FEE modules now correct - attachment 13
MAC address of aida07 was found to be 41-b4-16 not 41-d4-16 as was labelled on the FEE module
re-labelled FEE64
dhcpd.conf and MIDAS startup.tcl updated, dhcpd and MIDAS 8015 server restarted - aida07 is now working OK
CAEN N1419ET internal jumper fitted - outputs are no longer floating
15:20 ADC data item stats OK
aida06 & aida15 > 100k, all others c. 3k consistent with 50Hz pulser - attachment 14
per FEE64 Rate spectra
OK except for known issues aida05, and aida16 - all others OK - attachment 15
per p+n FEE64s 1.8.L spectra -
pulser peak width 50 ch FWHM aida11- double peaking DSSSD #1 & #2 - attachment 16
per n+n FEE64s 1.8.L spectra
pulser peak width 67 ch FWHM aida14 - more uniform noise across all DSSSDs
per FEE64 1.8.W spectra - 20us FSR - aida14 missing from waveforms - attachments 17,18
Swapped the test inputs so that +ve is now from the inverter and -ve direct from pulser. - attachments 19,20. Observed reduced noise for when plugged directly into the pulser than when connected from the inverter.
Lowered threshold from 0x64 (1 MeV) to 0x20 (320 keV) - attachment 21, 22 - Observed increase in rates, in particular for the DSSD #1,2 pn side. Conclusion -> increased pulser width for DSSD #1,2 is real and not from the pulser
aida01 lemo to water pipe (chamber ground) connection installed
ADC data item stats OK
aida06 & aida15 > 100k, all others c. 3k consistent with 50Hz pulser
per FEE64 Rate spectra
OK except for known issues aida16 - all others OK
per p+n FEE64s 1.8.L spectra -
pulser peak width 48 ch FWHM aida11- double peaking DSSSD #1 & #2 - attachment 23
per n+n FEE64s 1.8.L spectra
pulser peak width 67 ch FWHM aida14 - attachment 24
per FEE64 1.8.W spectra - 20us FSR - slightly reduced 100 kHz on pn waveforms - attachments 25,26
Disconnected aida06 and replaced with the spare FEE module (mac address: 42:0d:16). Power cycled and updated config files.
ADC data item stats OK
aida15 > 100k, all others including aida06 c. 3k consistent with 50Hz pulser - attachment 27
per FEE64 Rate spectra
OK except for known issues aida16 - all others OK - attachment 28
per p+n FEE64s 1.8.L spectra -
pulser peak width 48 ch FWHM aida11- no longer observe double peaking DSSSD #1 & #2 but the pulser peaks are still broader compared to DSSD #3,4 - attachment 29
per n+n FEE64s 1.8.L spectra
pulser peak width 55 ch FWHM aida14 - attachment 30
per FEE64 1.8.W spectra - 20us FSR - greatly reduced 100 kHz on pn waveforms, dodgy FEE previously aida06 must@ve had some impact on DSSD#1,2 - attachments 31,32
|
Attachment 1: Screenshot_from_2024-01-30_10-32-41.png
|
|
Attachment 2: Screenshot_from_2024-01-30_10-36-20.png
|
|
Attachment 3: Screenshot_from_2024-01-30_10-40-21.png
|
|
Attachment 4: Screenshot_from_2024-01-30_10-46-39.png
|
|
Attachment 5: Screenshot_from_2024-01-30_10-48-17.png
|
|
Attachment 6: Screenshot_from_2024-01-30_10-48-59.png
|
|
Attachment 7: Screenshot_from_2024-01-30_13-44-18.png
|
|
Attachment 8: Screenshot_from_2024-01-30_13-45-18.png
|
|
Attachment 9: Screenshot_from_2024-01-30_13-46-20.png
|
|
Attachment 10: Screenshot_from_2024-01-30_13-47-54.png
|
|
Attachment 11: Screenshot_from_2024-01-30_13-52-14.png
|
|
Attachment 12: Screenshot_from_2024-01-30_13-53-09.png
|
|
Attachment 13: Screenshot_from_2024-01-30_15-25-38.png
|
|
Attachment 14: Screenshot_from_2024-01-30_15-33-37.png
|
|
Attachment 15: Screenshot_from_2024-01-30_15-38-08.png
|
|
Attachment 16: Screenshot_from_2024-01-30_15-39-28.png
|
|
Attachment 17: Screenshot_from_2024-01-30_15-43-00.png
|
|
Attachment 18: Screenshot_from_2024-01-30_15-46-32.png
|
|
Attachment 19: Screenshot_from_2024-01-30_16-01-08.png
|
|
Attachment 20: Screenshot_from_2024-01-30_16-03-27.png
|
|
Attachment 21: Screenshot_from_2024-01-30_16-08-50.png
|
|
Attachment 22: Screenshot_from_2024-01-30_16-09-27.png
|
|
Attachment 23: Screenshot_from_2024-01-30_16-48-21.png
|
|
Attachment 24: Screenshot_from_2024-01-30_16-49-38.png
|
|
Attachment 25: Screenshot_from_2024-01-30_16-50-41.png
|
|
Attachment 26: Screenshot_from_2024-01-30_16-51-51.png
|
|
Attachment 27: Screenshot_from_2024-01-30_17-35-01.png
|
|
Attachment 28: Screenshot_from_2024-01-30_17-36-01.png
|
|
Attachment 29: Screenshot_from_2024-01-30_17-36-57.png
|
|
Attachment 30: Screenshot_from_2024-01-30_17-39-02.png
|
|
Attachment 31: Screenshot_from_2024-01-30_17-40-05.png
|
|
Attachment 32: Screenshot_from_2024-01-30_17-41-19.png
|
|
480
|
Mon Jan 29 15:32:08 2024 |
TD, JM | Monday 29 January contd. |
Test + and test - daisy chain cabling extended from DSSSD #1 to #2 to DSSSD #1 to DSSSD #4
terminated by 50 Ohm at end of daisy chain
Grounding cabling extended from DSSSD #1 ( only ) to DSSSD #1 to DSSSD #4
grounding cables daisy-chained between 4x adaptor PCBs of each DSSSD - no inter-DSSSD grounding cables
DSSSD#1 bias cable SHV-Lemo 00.250 ( to p+n bias adaptor PCB )
DSSSD#2 - DSSSD #4 bias cables SHV - 2x Lemo 00.250 ( to p+n/n+n bias adaptor PCBs )
LK1 fitted for DSSSD #1 & #2 n+n Ohmic side bias adaptor PCBs
LK2 not fitted on any adaptor PCBs? to be checked
CAEN N1419ET internal jumper fitted ( non-floating outputs )? to be checked
16.54 DSSSD bias OK - see attachment 1
FEE64 temperatures - attachment 2
aida06 ASIC temp low
System wide checks and WR - attachments 3-6
global clock status aid04 0x6, aida06 0x4
multiple FPGA timestamp and WR decoder errors for MACBs 4 and 5 ( of 5 )
WR timestamps OK for aida01-aida08 ( w/ MACB firmware version 04/20 )
ADC data item stats - attachment 7
all rates consistent with 50Hz pulser *except*
aida11 global clock fail
aida07 no boot
aida16 no power
aida06 ?
aida15 faulty asic #2
per FEE64 Rate spectra - attachment 8
per p+n and n+n FEE64 1.8.L spectra - attachments 9-12
Pulser peak width p+n side 52 ch FWHM ( aida12 ), n+n side 70 ch FWHM ( aida14 )
per p+n and n+n 1.8.W spectra - 20us FSR - attachments 13-16 |
Attachment 1: Screenshot_from_2024-01-29_16-54-37.png
|
|
Attachment 2: Screenshot_from_2024-01-29_16-56-12.png
|
|
Attachment 3: Screenshot_from_2024-01-29_16-55-07.png
|
|
Attachment 4: Screenshot_from_2024-01-29_16-55-24.png
|
|
Attachment 5: Screenshot_from_2024-01-29_16-55-39.png
|
|
Attachment 6: Screenshot_from_2024-01-29_16-55-53.png
|
|
Attachment 7: Screenshot_from_2024-01-29_16-59-13.png
|
|
Attachment 8: Screenshot_from_2024-01-29_17-03-10.png
|
|
Attachment 9: Screenshot_from_2024-01-29_17-05-44.png
|
|
Attachment 10: Screenshot_from_2024-01-29_17-06-15.png
|
|
Attachment 11: Screenshot_from_2024-01-29_17-08-53.png
|
|
Attachment 12: Screenshot_from_2024-01-29_17-09-23.png
|
|
Attachment 13: Screenshot_from_2024-01-29_17-11-01.png
|
|
Attachment 14: Screenshot_from_2024-01-29_17-11-39.png
|
|
Attachment 15: Screenshot_from_2024-01-29_17-12-26.png
|
|
Attachment 16: Screenshot_from_2024-01-29_17-13-06.png
|
|
479
|
Mon Jan 29 08:52:07 2024 |
TD JM | Monday 29 January |
09.50 CARME IE514 5.1e-11 mbar ( ambient temperature 16 deg C )
10.24 DSSD bias OK - attachment 1
10.40 MACB configuration
1 2 3 4 5
mode 0x3 0x3 0x3 0x3 0x3
from VETAR2 from 1 from 1 from 1 from 1
to 2 aida01 aida05 aida09 aida13
to 3 : : : :
to 4 : : : :
to 5 aida04 aida08 aida12 aida16
WR emulator cables connected to MACB #1 rear panel
DAQ reset and DAQ setup between each test below - the FEE64s were NOT power cycled between tests
Per https://elog.ph.ed.ac.uk/CARME/478 test WR
connecting/disconnecting HDMI cable from VETAR2 to MACB level 0 input was tested 20.1.24 per https://elog.ph.ed.ac.uk/CARME/477
With VETAR2 abd all MACB mode sttings 0x3 observe same WR issues as previously
Noted clock & sync cables from WR emulator were swapped
( pay attention to SMA cables cf. Zybo board *not* NIM module as shown in https://elog.ph.ed.ac.uk/DESPEC/517 )
Change MACB level 0 mode from 0x3 to 0xd - all other MACBs mode 0x3
HDMI cabkle from VETAR2 to MACB level 0 input disconnected
System wide checks - global clocks all fail - see attachments 2-5
11.14 Reduce MAC config to MACB level 0 plus one MACB connected to FEE64s aida01-aida4
All MACB modes 0x3
System wide checks aida01-aida04 OK. Same problem observed with WR timestamp for aida01-aida04
See attachments 6-9
11.22 Reduce MAC config to one MACB connected to FEE64s aida01-aida4
MACB mode 0x3
System wide checks aida01-aida04 OK. Same problem observed with WR timestamp for aida01-aida04
See attachments 10-13
11.26 One MACB config using MACB 2 of 5 in NIM bin connected to FEE64s aida01-aida4
MACB mode 0x3
System wide checks aida01-aida04 OK. Same problem observed with WR timestamp for aida01-aida04
See attachments 14-17
11.36 Connect VETAR2 output directly to aida09
System wide checks for aida09 OK.Valid WR timestamp appears to be generated.
See attachments 18-20
Check NIM bin with MACBs etc
+/-6V, 12V and 24V lines OK
11.42 Connect VETAR2 to MACB 1 of 5 ( mode 0x3 )
Connect aida09 to MACB 1 of 5
Global clock OK. WR decoder status error 0xd reported for aida09. Valid WR timestamp for aida09.
See attachments 21-24
11.53 Connect VETAR2 to MACB 1 of 5 ( mode 0x3 )
Connect aida09-aida12 to MACB 1 of 5
Global clock OK. WR decoder status error 0xd reported for aida09-aida12. WR timestamps for aida09-aida12 very different - aida10 might be correct timestamp?
See attachments 25-28
11.53 Connect VETAR2 to MACB 2 of 5 ( mode 0x3 )
Connect aida09-aida12 to MACB 2 of 5
Global clock OK. WR decoder status error 0xd reported for aida09-aida12. WR timestamps for aida09-aida12 very different - aida10 & 11 approx correct but 11 has
lower timestamp than 10 so probably not quite right
See attachments 29-32
15.00 Per https://elog.ph.ed.ac.uk/CARME/478 systematically test 1x MACB configurations to find 'working' MACBs
Identify 3x MACB modules which produce sensible WR timestamps - all labelled ' firmware version 04/20 '.
The other 5x MACBs are either unlabelled or labelled unknown.
MACB configuration now uses 04/20 MACBs for MACBs 1-3 and unlabelled 2xMACBs fpr MACBs 4-5
aida01-aida08 should produce WR timestamps OK
Will attempt to update firmwre of 5x MACBs tomorrow pm with NH |
Attachment 1: Screenshot_from_2024-01-29_10-24-10.png
|
|
Attachment 2: Screenshot_from_2024-01-29_11-05-18.png
|
|
Attachment 3: Screenshot_from_2024-01-29_11-05-49.png
|
|
Attachment 4: Screenshot_from_2024-01-29_11-08-15.png
|
|
Attachment 5: Screenshot_from_2024-01-29_11-08-41.png
|
|
Attachment 6: Screenshot_from_2024-01-29_11-20-44.png
|
|
Attachment 7: Screenshot_from_2024-01-29_11-21-07.png
|
|
Attachment 8: Screenshot_from_2024-01-29_11-21-29.png
|
|
Attachment 9: Screenshot_from_2024-01-29_11-22-00.png
|
|
Attachment 10: Screenshot_from_2024-01-29_11-28-20.png
|
|
Attachment 11: Screenshot_from_2024-01-29_11-28-40.png
|
|
Attachment 12: Screenshot_from_2024-01-29_11-28-52.png
|
|
Attachment 13: Screenshot_from_2024-01-29_11-29-26.png
|
|
Attachment 14: Screenshot_from_2024-01-29_11-33-16.png
|
|
Attachment 15: Screenshot_from_2024-01-29_11-33-30.png
|
|
Attachment 16: Screenshot_from_2024-01-29_11-33-43.png
|
|
Attachment 17: Screenshot_from_2024-01-29_11-34-15.png
|
|
Attachment 18: Screenshot_from_2024-01-29_11-40-51.png
|
|
Attachment 19: Screenshot_from_2024-01-29_11-41-12.png
|
|
Attachment 20: Screenshot_from_2024-01-29_11-41-59.png
|
|
Attachment 21: Screenshot_from_2024-01-29_11-48-20.png
|
|
Attachment 22: Screenshot_from_2024-01-29_11-48-41.png
|
|
Attachment 23: Screenshot_from_2024-01-29_11-48-58.png
|
|
Attachment 24: Screenshot_from_2024-01-29_11-49-22.png
|
|
Attachment 25: Screenshot_from_2024-01-29_11-57-41.png
|
|
Attachment 26: Screenshot_from_2024-01-29_11-58-11.png
|
|
Attachment 27: Screenshot_from_2024-01-29_11-58-25.png
|
|
Attachment 28: Screenshot_from_2024-01-29_11-59-11.png
|
|
Attachment 29: Screenshot_from_2024-01-29_12-05-28.png
|
|
Attachment 30: Screenshot_from_2024-01-29_12-05-59.png
|
|
Attachment 31: Screenshot_from_2024-01-29_12-06-11.png
|
|
Attachment 32: Screenshot_from_2024-01-29_12-07-03.png
|
|
478
|
Sat Jan 27 20:38:15 2024 |
PJCS | [INFO] Steps to diagnose White Rabbit problems |
This is a suggested sequence of actions to help with the current problem with the White Rabbit timestamp distribution. Test the system between each intervention. If a test provides an answer to the problem then proceed accordingly rather than continue with the suggestions.
- Please sketch the current MACB wiring.
- Unplug and replug the connectors of the VETAR HDMI connecting cable. If possible clean the pcb and cable HDMI connectors with contact cleaner.
- Disconnect the VETAR and connect the Emulator. If the clocks are not correct then at the Local and Waveform control page change the entry for LMK03200 control register at offset 5 to 0xB. If clocks are now ok then repeat for all the FEEs. Be aware that this value will be set back to 0x1B during SETUP. If the Emulator fails then continue with VETAR and setting 0x1B.
- Connect one or more FEE64 to the base MACB.
- Change the base MACB and repeat the test above.
- Connect a FEE to the VETAR direct.
I am at present unable to advise further. However should there be more then I will update this entry. |
477
|
Mon Jan 22 16:29:31 2024 |
TD | Saturday 20 January |
11.00 No WLAN/WiFi
came-gsi private LAN appears to be OK
AIDA FEE64 power ON
11.09 FEE64 temps - attachment 1
aida06 low, otherwise OK
11:10 CARME IE514 4.0e-11 mbar, temp unknown
IE514 OFF
11.22 Detector bias ON - attachment 2
found ch 2 up ramp set to 63V/s! changed to 1V/s
11.30 system wide checks OK except
aida02 & aida06 fail WR decoder status
WR ts control - attachments 3-4
12.02 ASIC settings updated for aida09-aida16
ASIC settings DB key 2024Jan20-12:02:08
Unable to save DB key to Options - use ASIC settings restore as workaround
12.30 Layouts for 16x FEE64s created - directory ~/LayOut/16xFEE64
Note layouts include spectra from aida07, aida08 and aida16 so an error message will be generated but it can be ignored
12.40 per FEE64 Rate spectra - attachments 5-6
per FEE64 p+n & nn 1.8.W spectra - attachments 7-8
12.57 per FEE64 p+n & n+n 1.8.W spectra 20us FSR - attachments 9-10
Test signals
EG&G Ortec 448 Pulser
Amplitude 90,000
attenuation x10
Normalise 5.00
t_r 100ns
tau_d 50us
Frequency 50Hz ( relay line freq )
Polarity +
test + direct from 448, test - from Cooknell SA1 Sum & Invert
Test + daisy chain -> aida01 - aida02 - aida05 - aida06 - 50 Ohm
Test - daisy chain -> aida03 - aida04 - aida07 - aida08 - 50 Ohm
aida08 ( DSSSD #2 n+n Ohmic bias ) LK2 removed, LK1 added
aida04 ( DSSSD #1 n+n Ohmic bias ) no LK2 fitted, LK1 added
DSSSD#1 SHV - 2x Lemo 00.250 cable replaced by standard SHV - BNC/BNC Lemo-00.250 coaxial cable
Adaptor PCB ground daisy chain aida01 - aida02 - aida03 - aida04
DSSSD#2 - DSSSD#4 HV cabling unchanged
15.30 Power ON
System wide checks OK except
aida02 fail WR decoder status - attachment 11
FEE64 temperatures - attachment 12
aida06 ASIC temp low
DSSSD bias - attachment 13
ADC data item stats - attachment 14
slow comparator 0x64
per FEE64 Rate and 1.8.W 20us FSR spectra - attachments 15-17
16.00 per FEE64 rate spectra - attachment 18
16.15 per FEE64 Rate and 1.8.W 20us FSR spectra - attachments 19-21
17.36 Switch from VETAR2 ( all MACB modules mode 0x3 ) to NIM WR emulator ( root MACB module 0xd, all others 0x3 )
All FEE64s fail Global clock and WR decoder - attachments 22-24
WR timetsamp control - attachment 25
18.10 Noted MACB #4 mode actually set to 0x2 *not* 0x3 - changed to 0x3
Re-test with VETAR2 WR - same behaviour as observed this morning - see attachments 26-30
Summary
n+n sides appear to be OK but noisy
no data observed from aida06 & aida11
aida11 known to be disconnected from adaptor PCB
aida15 asic #2 may be faulty
aida01, aida05, aida12 - data suggest FEE64/adaptor PCB alignment issue ?
aida02, aida03, aida09, aida14 - data suggest adaptor PCB damaged pins ?
aida07 - network config issue ?
aida08 - not completing boot
need to attach sys console to aida07 & aida08 to diagnose problem. |
Attachment 1: Screenshot_from_2024-01-20_11-10-03.png
|
|
Attachment 2: Screenshot_from_2024-01-20_11-22-20.png
|
|
Attachment 3: Screenshot_from_2024-01-20_11-31-31.png
|
|
Attachment 4: Screenshot_from_2024-01-20_11-31-39.png
|
|
Attachment 5: Screenshot_from_2024-01-20_12-18-27.png
|
|
Attachment 6: Screenshot_from_2024-01-20_12-18-37.png
|
|
Attachment 7: Screenshot_from_2024-01-20_12-44-07.png
|
|
Attachment 8: Screenshot_from_2024-01-20_12-42-58.png
|
|
Attachment 9: Screenshot_from_2024-01-20_12-59-12.png
|
|
Attachment 10: Screenshot_from_2024-01-20_13-00-18.png
|
|
Attachment 11: Screenshot_from_2024-01-20_15-30-48.png
|
|
Attachment 12: Screenshot_from_2024-01-20_15-40-44.png
|
|
Attachment 13: Screenshot_from_2024-01-20_15-40-58.png
|
|
Attachment 14: Screenshot_from_2024-01-20_15-43-06.png
|
|
Attachment 15: Screenshot_from_2024-01-20_15-44-18.png
|
|
Attachment 16: Screenshot_from_2024-01-20_15-45-14.png
|
|
Attachment 17: Screenshot_from_2024-01-20_15-45-47.png
|
|
Attachment 18: Screenshot_from_2024-01-20_16-03-18.png
|
|
Attachment 19: Screenshot_from_2024-01-20_16-15-24.png
|
|
Attachment 20: Screenshot_from_2024-01-20_16-15-52.png
|
|
Attachment 21: Screenshot_from_2024-01-20_16-16-37.png
|
|
Attachment 22: Screenshot_from_2024-01-20_17-36-22.png
|
|
Attachment 23: Screenshot_from_2024-01-20_17-36-28.png
|
|
Attachment 24: Screenshot_from_2024-01-20_17-36-43.png
|
|
Attachment 25: Screenshot_from_2024-01-20_17-38-22.png
|
|
Attachment 26: 1000009752.jpg
|
|
Attachment 27: 1000009753.jpg
|
|
Attachment 28: 1000009754.jpg
|
|
Attachment 29: 1000009755.jpg
|
|
Attachment 30: 1000009756.jpg
|
|
476
|
Fri Jan 19 11:17:50 2024 |
JG, TD | Friday 19 January |
12.20 CARME IE514 4.1e-11mbar, ambient temperature 16.7 deg C
FEE64 cabling complete
WR source - VETAR2 borrowed from Nik Kurz and opwerating standalone ( no BuTIS reference )
All MACBs mode 0x3
15.20 Power ON FEE64s #1 - #15
aida02 did not boot - /etc/dhcp/dhcpd.conf typo - fixed
aida04 did not boot - AIDA FEE64 PSU output #4 fuses open circuit - fuses replaced from AIDA FEE64 PSU spare channel ( aida16 not connected yet ) - fixed
aida07 did not boot - power OK - FEE64 comfort LEDs OK - network switch link LED OK - network config?
aida08 boots - power OK - comfort LEDs OK - network switch link LED OK - requests RFS / but does not request RFS MIDAS - can ping aida08 OK, cannot telnet aida08 'connection refused'
aida09 did not boot - FEE64 comfort LEDS OFF - swap FEE64 #9 & #10 power cables - aida09 boots OK, aida10 does not boot
Restore #9 & #10 power cables - swap AIDA FEE64 outputs #1 & #2 ( aida09 & aida10 ) - aida09 boots OK
Conclude AIDA FEE64 PSU ( no label ) output #1 is faulty. Replace AIDA FEE64 PSU ( label ISOL3 ). aida09 & aida10 boot OK
aida15/aida16 MAC addresses were swapped in https://elog.ph.ed.ac.uk/CARME/467 - fixed
aida01-aida06, aida09-aida15 boot OK - aida07 does not boot, aida08 does not complete boot
16.25 Configure MIDAS DEE64 servers aida01 - aida06, aida10 - aida15
FEE64 temperatures - attachment 1
aida06 looks low ( c. 25 deg C cf. c. 40 deg C )
System wide checks - attachments 2-8
aida02 fails WR decoder
WR timestamps ( attachments 7 & 8 ) look odd/low - values always c. 0x2???
17.30 CARME IE514 OFF
WR NIM emulator SMA cables to root MACB ( rear panel ) disconnected at front panel
Power cycle FEE64s
System wide checks & WR timestamp control - attachments 9-14
no change
17.45 Detector bias -20, -100 & 150V - attachments 15-17
CAEN N1419ET non-floating outputs ( to be checked ), HV cable SHV - 2x Lemo 00.250, LK1 not fitted
18.40 Detector bias -150V attachment 18
To Do
Check ASIC settings
Configure HV
Check LKs
Ground cabling
|
Attachment 1: Screenshot_from_2024-01-19_16-30-27.png
|
|
Attachment 2: Screenshot_from_2024-01-19_16-23-24.png
|
|
Attachment 3: Screenshot_from_2024-01-19_16-23-33.png
|
|
Attachment 4: Screenshot_from_2024-01-19_16-23-51.png
|
|
Attachment 5: Screenshot_from_2024-01-19_16-24-02.png
|
|
Attachment 6: Screenshot_from_2024-01-19_16-24-07.png
|
|
Attachment 7: Screenshot_from_2024-01-19_16-24-44.png
|
|
Attachment 8: Screenshot_from_2024-01-19_16-31-32.png
|
|
Attachment 9: Screenshot_from_2024-01-19_17-48-30.png
|
|
Attachment 10: Screenshot_from_2024-01-19_17-48-38.png
|
|
Attachment 11: Screenshot_from_2024-01-19_17-48-46.png
|
|
Attachment 12: Screenshot_from_2024-01-19_17-48-51.png
|
|
Attachment 13: Screenshot_from_2024-01-19_17-49-11.png
|
|
Attachment 14: Screenshot_from_2024-01-19_17-49-23.png
|
|
Attachment 15: Screenshot_from_2024-01-19_17-43-55.png
|
|
Attachment 16: Screenshot_from_2024-01-19_17-54-33.png
|
|
Attachment 17: Screenshot_from_2024-01-19_17-56-01.png
|
|
Attachment 18: Screenshot_from_2024-01-19_18-41-45.png
|
|
475
|
Thu Jan 18 17:21:00 2024 |
TD | Thursday 18 January |
Network ( dhcpd.conf ) and MIDAS startup.tcl/Options/ASIC settings/rfs filesystems configured
17.20 CARME IE514 4.1e-11mbar, ambient temperature 16.7 deg C
Pressure appears to be drifting upwards - see attachment 1 |
Attachment 1: Capture.PNG
|
|
474
|
Wed Jan 17 19:21:20 2024 |
TD | AIDA spares |
Spare AIDA hardware
1x AIDA FEE64 PSU
1x USB-controlled ac mains relay
Transferred from EX 2.039 store ( ESR roof ) to CARME storage bins adjacent to CRYRING counting room ( CRYRING roof ).
CRYRING Hall
12 USB-Jtag cables ( sys console ) + 1x to Edinburgh Jan 2024 to fabricate more with spare Jtag PCBs
7x HDMI FEE64 - MACB cables
0x 0.3m HDMI-HDMI cables - require 8x 0.3m HDMI - HDMI cables + 2x 3m HDMI-HDMI cables
2x MACB
1x NIM WR emulator
1x Dlink 7 port USB extender
1x Netgear 24-port Gbit network switch
1x CAEN N1419ET ( ch #0 u/s ? )
0x 10m RJ45 Cat 6 network cables yellow/green/purple/brown - require 2x of each |
473
|
Wed Jan 17 19:15:47 2024 |
TD | Wednesday 17 January |
CAEN N1419ET remote control via carme-gsi and nnrpi1 - see https://elog.ph.ed.ac.uk/CARME/472
15x ( of 16x ) AIDA power cables available, labelled #1 - #15, installed
16x ( of 16x ) RJ45 Cat 6 network cables available, 15x labelled #1 - #15
16x ( of 16x ) HDMI cables available, labelled #1 - #16 |
472
|
Wed Jan 17 10:31:05 2024 |
TD | Remote control of CAEN N1419ET from nnrpi1 |
Login to nnrpi1 from carme-gsi using ssh
Execute the caen_screen.sh script
pi@nnrpi1:~ $ cat ./caen_screen.sh
if ! screen -list | grep -q "caenhv"; then
screen -f -S caenhv /dev/ttyACM0 9600
else
screen -x caenhv
fi
pi@nnrpi1:~ $ ./caen_screen.sh
Enter the text string 'caen'
If the CAEN display program is already running you will only see page updates/changes.
- enter q ( quit ) and then d ( display ) to re-display the entire screen.
See attachment 1 |
Attachment 1: Capture.PNG
|
|
471
|
Tue Jan 16 16:01:48 2024 |
TD | Change CARMEraspberrypi to nnrpi1 |
Change RPi from CARMEraspberrypi to nnrpi1 to provide carme-gsi access to Rly16 to control USB-controlled ac mains relay.
nnrpi1 is already defined in carme-gsi:/etc/dhcp/dhcpd.conf
Transfer RPi network connection from CRYRING local network to AIDA 24-port network Gbit switch
Change /etc/hostname from
CARMEraspberrypi
to
nnrpi1
Change /etc/hosts from
127.0.0.1 localhost
::1 localhost ip6-localhost ip6-loopback
ff02::1 ip6-allnodes
ff02::2 ip6-allrouters
127.0.1.1 raspberrypi
to
127.0.0.1 localhost
::1 localhost ip6-localhost ip6-loopback
ff02::1 ip6-allnodes
ff02::2 ip6-allrouters
127.0.1.1 nnrpi1
Reboot RPi
Login to RPi and start MIDAS 8015 service
/MIDAS/TclHttpd/linux-arm/TclHttpd-server &
Open new browser tab on carme-gsi
http://nnrpi1:8015/AIDA/Rly16/Rly16.tml |
Attachment 1: Capture.PNG
|
|
470
|
Tue Jan 16 14:36:30 2024 |
TD | CARME configuration |
FEE64
#1 - #16 -> aida01 - aida16
Network configuration - /etc/dhcpd/dhcpd.conf - attachment 1
MIDAS Options
Added Options sub-directories for aida09-aida16 - attachment 2
MIDAS ASIC settings
Added sub-directories for aida09-aida16 - attachment 3
MIDAS configuration - /MIDAS/config/TclHttpd/carme-gsi"8015 - attachment 4
Currently only aida01-aida08 defined
MIDAS embedded rfs filesystems for aida01-aida24 alreday exist - attachment 5
All FEE64s connected to rev B CARME adaptor PCBs
LK1 fitted to n+n bias FEE64 ( aidaxx, aidaxx, aidaxx, aidaxx )
No other LKs fitted
MACB
WR source NIM WR emulator or VETAR2
See https://elog.ph.ed.ac.uk/DESPEC/517 for MACB mode configuration
CAEN N1419ET
EG&G Ortec 448 Pulser
ASIC settings
Options |
Attachment 1: dhcpd.conf
|
#
# DHCP Server Configuration file.
# see /usr/share/doc/dhcp*/dhcpd.conf.example
# see dhcpd.conf(5) man page
#
# 2 days
#default-lease-time 172800;
# 4 days
default-lease-time 345600;
# 8 days
max-lease-time 691200;
option domain-search code 119 = string;
option domain-name "dl.ac.uk";
option domain-name-servers 193.62.115.16, 148.79.80.78;
option netbios-name-servers 148.79.160.89;
option netbios-node-type 8;
option nis-domain "nuclear.physics";
option nis-servers 193.62.115.77;
subnet 192.168.11.0 netmask 255.255.255.0 {
option subnet-mask 255.255.255.0;
option broadcast-address 192.168.11.255;
pool {
range 192.168.11.118 192.168.11.199;
}
}
group {
use-host-decl-names true;
default-lease-time 3600;
max-lease-time 14400;
server-name "192.168.11.99";
next-server 192.168.11.99;
host nnrpi1 {
hardware ethernet dc:a6:32:1d:21:db;
fixed-address 192.168.11.251;
}
host nnrpi2 {
hardware ethernet b8:27:eb:40:53:e8;
fixed-address 192.168.11.117;
}
host aida01 {
hardware ethernet d8:80:39:41:d8:20;
fixed-address 192.168.11.1;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida01";
}
host aida02 {
hardware ethernet d8:80:39:41:d8:2a;
fixed-address 192.168.12.2;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida02";
}
host aida03 {
hardware ethernet d8:80:39:41:d0:05;
fixed-address 192.168.11.3;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida03";
}
host aida04 {
hardware ethernet d8:80:39:41:b4:0b;
fixed-address 192.168.11.4;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida04";
}
host aida05 {
hardware ethernet d8:80:39:42:0d:0c;
fixed-address 192.168.11.5;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida05";
}
host aida06 {
hardware ethernet d8:80:39:41:d7:cd;
fixed-address 192.168.11.6;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06";
}
host aida07 {
hardware ethernet d8:80:39:41:d4:16;
fixed-address 192.168.11.7;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida07";
}
host aida08 {
hardware ethernet d8:80:39:41:ba:2b;
fixed-address 192.168.11.8;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida08";
}
host aida09 {
hardware ethernet d8:80:39:41:d0:0e;
fixed-address 192.168.11.9;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida09";
}
host aida10 {
hardware ethernet d8:80:39:41:d8:2b;
fixed-address 192.168.11.10;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida10";
}
host aida11 {
hardware ethernet d8:80:39:41:d7:cc;
fixed-address 192.168.11.11;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida11";
}
host aida12 {
hardware ethernet d8:80:39:41:d7:c2;
fixed-address 192.168.11.12;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida12";
}
host aida13 {
hardware ethernet d8:80:39:41:ba:22;
fixed-address 192.168.11.13;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida13";
}
host aida14 {
hardware ethernet d8:80:39:41:ee:72;
fixed-address 192.168.11.14;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida14";
}
host aida15 {
hardware ethernet d8:80:39:41:ee:71;
fixed-address 192.168.11.15;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida15";
}
host aida16 {
hardware ethernet d8:80:39:41:a0:71;
fixed-address 192.168.11.16;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida16";
}
}
subnet 192.168.12.0 netmask 255.255.255.0 {
option subnet-mask 255.255.255.0;
option broadcast-address 192.168.12.255;
pool {
range 192.168.12.100 192.168.12.199;
}
}
group {
use-host-decl-names true;
default-lease-time 3600;
max-lease-time 14400;
server-name "192.168.12.99";
next-server 192.168.12.99
}
|
Attachment 2: Options
|
[npg@carme-gsi Options]$ pwd
/MIDAS/DB/EXPERIMENTS/AIDA/Options
[npg@carme-gsi Options]$ ls -lR
.:
total 0
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida01
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida02
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida03
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida04
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida05
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida06
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida07
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida08
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida09
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida10
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida11
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida12
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida13
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida14
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida15
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida16
./aida01:
total 4
-rwxrwxr-x. 1 npg npg 1027 Nov 28 2022 CONTENTS
./aida02:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 19 2022 CONTENTS
./aida03:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 13 2022 CONTENTS
./aida04:
total 4
-rwxrwxr-x. 1 npg npg 1026 Dec 1 2022 CONTENTS
./aida05:
total 4
-rwxrwxr-x. 1 npg npg 1027 Nov 30 2022 CONTENTS
./aida06:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 4 2022 CONTENTS
./aida07:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 31 2022 CONTENTS
./aida08:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 4 2022 CONTENTS
./aida09:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida10:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida11:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida12:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida13:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida14:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida15:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida16:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
[npg@carme-gsi Options]$ pwd
/MIDAS/DB/EXPERIMENTS/AIDA/Options
[npg@carme-gsi Options]$ ls -lR
.:
total 0
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida01
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida02
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida03
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida04
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida05
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida06
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida07
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida08
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida09
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida10
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida11
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida12
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida13
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida14
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida15
drwxrwxr-x. 2 npg npg 22 Jan 18 15:15 aida16
./aida01:
total 4
-rwxrwxr-x. 1 npg npg 1027 Nov 28 2022 CONTENTS
./aida02:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 19 2022 CONTENTS
./aida03:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 13 2022 CONTENTS
./aida04:
total 4
-rwxrwxr-x. 1 npg npg 1026 Dec 1 2022 CONTENTS
./aida05:
total 4
-rwxrwxr-x. 1 npg npg 1027 Nov 30 2022 CONTENTS
./aida06:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 4 2022 CONTENTS
./aida07:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 31 2022 CONTENTS
./aida08:
total 4
-rwxrwxr-x. 1 npg npg 1026 Feb 4 2022 CONTENTS
./aida09:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida10:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida11:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida12:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida13:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida14:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida15:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
./aida16:
total 4
-rwxrwxr-x. 1 npg npg 1026 Jan 18 15:15 CONTENTS
[npg@carme-gsi Options]$ cat aida02/CONTENTS
Index string Stat.offset&&Aida_Hist_W_Enable&&TS_SYNC_PHASE&&ExtClk&&Aida.shift&&MACB_TRIG_MODE&&Aida.offset&&RunNumber&&Aida_GroupBase&&Rate.channels&&Stat.channels&&Aida.Vchannels&&ASIC.settings&&Aida_Hist_D_Enable&&WAVE_DMA_HWM&&Stat.shift&&Aida.Wchannels&&Aida_Hist_V_Enable&&Include.Aida&&Aida.channels&&DataAcqPgm&&Aida_Hist_H_Enable&&DataFormat&&ASIC_DMA_HWM&&Aida_Hist_L_Enable
Stat.offset string 64
Aida_Hist_W_Enable string 1
Aida.shift string 0
TS_SYNC_PHASE string 0x0
ExtClk string 1
Aida.offset string 0
MACB_TRIG_MODE string 4
RunNumber string 63
Rate.channels string 512
Aida_GroupBase string 1
Stat.channels string 512
Aida_Hist_D_Enable string 1
ASIC.settings string 2022Jan31-11-52-00
Aida.Vchannels string 256
WAVE_DMA_HWM string 0x0007ffff
Aida.Wchannels string 1020
Stat.shift string 6
Include.Aida string 0
Aida_Hist_V_Enable string 0
Aida.channels string 65536
DataFormat string 0x0000
DataAcqPgm string AidaExecV9
Aida_Hist_H_Enable string 1
ASIC_DMA_HWM string 0x000fffff
Aida_Hist_L_Enable string 1
|
Attachment 3: Settings
|
[npg@carme-gsi 2022Jan31-11-52-00]$ pwd
/MIDAS/DB/EXPERIMENTS/AIDA/2022Jan31-11-52-00
[npg@carme-gsi 2022Jan31-11-52-00]$ ls -lR
.:
total 0
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida01
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida02
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida03
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida04
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida05
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida06
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida07
drwxrwxr-x. 2 npg npg 22 Jan 31 2022 aida08
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida09
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida10
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida11
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida12
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida13
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida14
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida15
drwxrwxr-x. 2 npg npg 22 Jan 18 15:21 aida16
./aida01:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida02:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida03:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida04:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida05:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida06:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida07:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida08:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 31 2022 CONTENTS
./aida09:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida10:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida11:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida12:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida13:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida14:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida15:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
./aida16:
total 4
-rwxrwxr-x. 1 npg npg 2726 Jan 18 15:21 CONTENTS
[npg@carme-gsi 2022Jan31-11-52-00]$ cat aida02/CONTENTS
ASIC.1.3 string 0x02
ASIC.2.19 string 0x5c
ASIC.2.20 string 0x68
ASIC.1.4 string 0x07
ASIC.2.21 string 0x0f
ASIC.2.22 string 0x5c
ASIC.1.5 string 0x08
ASIC.1.6 string 0x09
ASIC.2.23 string 0x08
ASIC.2.24 string 0x68
ASIC.1.7 string 0x05
ASIC.2.25 string 0x08
ASIC.1.8 string 0x00
ASIC.2.26 string 0xbf
ASIC.1.9 string 0x0f
ASIC.2.0 string 0x04
ASIC.2.1 string 0x05
ASIC.3.10 string 0x00
ASIC.2.2 string 0x06
ASIC.3.11 string 0x0d
ASIC.2.3 string 0x02
ASIC.3.12 string 0x64
ASIC.2.4 string 0x07
ASIC.3.13 string 0x34
ASIC.2.5 string 0x08
ASIC.3.14 string 0x02
ASIC.2.6 string 0x09
ASIC.3.15 string 0xff
ASIC.2.7 string 0x05
ASIC.3.16 string 0xd2
ASIC.2.8 string 0x00
ASIC.3.17 string 0x80
ASIC.2.9 string 0x0f
ASIC.3.18 string 0xb2
WAVE.0 string 0x00000000
ASIC.3.20 string 0x68
ASIC.3.19 string 0x5c
WAVE.1 string 0x00000000
ASIC.3.21 string 0x0f
WAVE.2 string 0x00000000
LED.0 string 0x00011d4c
ASIC.3.22 string 0x5c
WAVE.3 string 0x00000000
LED.1 string 0x0000ffff
ASIC.3.23 string 0x08
LED.2 string 0x00011b58
ASIC.3.24 string 0x68
ASIC.1.10 string 0x00
LED.3 string 0x00000000
ASIC.3.25 string 0x08
ASIC.3.0 string 0x04
ASIC.3.26 string 0xbf
ASIC.1.11 string 0x0d
LED.4 string 0x00011b58
LED.5 string 0x00000000
ASIC.3.1 string 0x05
ASIC.1.12 string 0x64
ASIC.1.13 string 0x34
ASIC.3.2 string 0x06
LED.6 string 0x00011b58
LED.7 string 0x00000000
ASIC.1.14 string 0x02
ASIC.3.3 string 0x02
ASIC.1.15 string 0xff
ASIC.3.4 string 0x07
LED.8 string 0x000003fc
LED.9 string 0x000000c8
ASIC.1.16 string 0xd2
ASIC.3.5 string 0x08
ASIC.1.17 string 0x80
ASIC.3.6 string 0x09
ASIC.1.18 string 0xb2
ASIC.3.7 string 0x05
ASIC.1.20 string 0x68
ASIC.3.8 string 0x00
ASIC.1.19 string 0x5c
ASIC.3.9 string 0x0f
ASIC.1.21 string 0x0f
ASIC.4.10 string 0x00
ASIC.1.22 string 0x5c
ASIC.1.23 string 0x08
ASIC.4.11 string 0x0d
ASIC.4.12 string 0x64
ASIC.1.24 string 0x68
ASIC.1.25 string 0x08
ASIC.4.13 string 0x34
ASIC.4.14 string 0x02
ASIC.1.26 string 0xbf
ASIC.4.15 string 0xff
ASIC.4.16 string 0xd2
DISC.6 string 0x00000002
DISC.7 string 0x24800000
ASIC.4.0 string 0x04
ASIC.4.17 string 0x80
ASIC.4.18 string 0xb2
ASIC.4.1 string 0x05
ASIC.4.20 string 0x68
ASIC.4.2 string 0x06
ASIC.4.19 string 0x5c
ASIC.4.3 string 0x02
ASIC.4.21 string 0x0f
ASIC.4.22 string 0x5c
ASIC.4.4 string 0x07
ASIC.4.5 string 0x08
ASIC.4.23 string 0x08
ASIC.4.24 string 0x68
ASIC.4.6 string 0x09
ASIC.2.10 string 0x00
ASIC.4.7 string 0x05
ASIC.4.25 string 0x08
ASIC.4.26 string 0xbf
ASIC.4.8 string 0x00
ASIC.2.11 string 0x0d
ASIC.2.12 string 0x64
ASIC.4.9 string 0x0f
ASIC.2.13 string 0x34
ASIC.2.14 string 0x02
ASIC.2.15 string 0xff
ASIC.2.16 string 0xd2
ASIC.1.0 string 0x04
ASIC.1.1 string 0x05
ASIC.2.17 string 0x80
ASIC.2.18 string 0xb2
ASIC.1.2 string 0x06
|
Attachment 4: startup.tcl
|
Setting BGColor "BlanchedAlmond"
Setting TextColor "red"
Setting ProjectName AIDA
Setting ProjectImage AIDA.gif
Setting ProjectURL "http://npg.dl.ac.uk/NPG/Projects/Fair.html"
Setting Option1Name STFC
Setting Option1URL "stfc.ac.uk"
Setting Option1Image stfc.jpg
# global MessageLoggerServerHost
# set MessageLoggerServerHost nndhcp077.dl.ac.uk
# package require MessageLoggerClient
# Setting Option3Name "Elog Server"
# Setting Option3URL "http://nndhcp077.dl.ac.uk:8080/DataAcq/"
# Install required services
if {![namespace exists ::AIDA]} {namespace eval ::AIDA {puts "created namespace ::AIDA"}}
# set ::AIDA::TestMode 1
global SpectrumServerHost
set SpectrumServerHost aidas
package require XAIDAAccessClient ;# for Client end - ie AIDA server workstation sets MIDAS_XAIDAAccessClient_Exists (SOAP)
package require XAD9252AccessClient ;# for Client end - ie AIDA server workstation sets MIDAS_XAD9252AccessClient_Exists (SOAP)
namespace eval DataAcquisition {
variable PROJECT AIDA
variable ACQSERVERS
set ACQSERVERS [list aida01 aida02 aida03 aida04 aida05 aida06 aida07 aida08]
variable ACQSERVER [first $ACQSERVERS]
variable DataTransferStreams; set DataTransferStreams 2
# set ::DataAcquisition::EnableMerger 1
# set ::DataAcquisition::EnableTape 1
variable RDOGo 0
}
namespace eval AIDA {
variable ModuleMenu; set ModuleMenu $::DataAcquisition::ACQSERVERS
variable TSMaster; set TSMaster aida01
variable FEE64 [first $ModuleMenu]
variable ConfigBase; set ConfigBase [file join $env(MIDASBASE) config TclHttpd]
}
Setting DataBaseRoot "/MIDAS/DB"
package require DataBaseAccessServer
package require NetVarsServer 1.1
package require SigTaskServer
# package require MemSasServer
# Install services for Run Control
namespace eval $::DataAcquisition::PROJECT {
variable DBRootName [file join EXPERIMENTS AIDA]
variable DBNodeBase 2012
}
package require AIDARunControl
# package require TapeServer
# package require MergerControl
# package require MERGERunControl
Setting Option7Name "Project Home Page"
Setting Option7URL "http://npg.dl.ac.uk/NPG/Projects/Fair.html"
Setting Option8Name "Server Software Home Page"
Setting Option8URL "http://npg.dl.ac.uk/MIDAS/download/WebServices.html"
|
Attachment 5: rfs
|
[npg@carme-gsi rfs]$ pwd
/MIDAS/Embedded/XilinxLinux/ppc_4xx/rfs
[npg@carme-gsi rfs]$ ls -l
total 1491780
drwxrwxrwx. 19 10101 500 227 Dec 1 2022 aida01
drwxrwxrwx. 19 10101 500 227 Dec 1 2022 aida02
drwxrwxrwx. 19 10101 500 227 Dec 1 2022 aida03
drwxrwxrwx. 19 10101 500 227 Dec 1 2022 aida04
drwxrwxrwx. 19 10101 500 227 Dec 1 2022 aida05
drwxrwxrwx. 19 10101 500 227 Feb 10 2022 aida06
drwxrwxrwx. 19 10101 500 227 Feb 10 2022 aida07
drwxrwxrwx. 19 10101 500 227 Feb 10 2022 aida08
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida09
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida10
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida11
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida12
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida13
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida14
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida15
drwxrwxrwx. 19 10101 500 227 Oct 29 2021 aida16
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida17
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida18
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida19
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida20
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida21
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida22
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida23
drwxrwxrwx. 19 10101 500 227 Apr 28 2021 aida24
-rw-r--r--. 1 root root 1527582720 Apr 28 2021 rfs.tar
|
469
|
Tue Jan 16 08:57:44 2024 |
JG, DR, TD | Tuesday 16 January |
10.00 Outflow manifold returned from workshop with leaking attachment point welding re-worked.
11.30 Outflow hoses re-attached to outflow manifold. Faulty male Swagelok replaced.
Outflow manifold tested on floor - no leaks observed.
Mounted outflow manifold. All inflow/outflow hoses attached to FEE64s - see attachments 1-4.
FEE64 #11 detached adaptor PCB - temporarily secured by cable tie.
Inflow/outflow valves opened. Pin hole leak observed at 3/8" connector gasket - indicated by arrow attachment
3.
Leak fixed by tightening fitting.
1x spare inflow/outflow connected together - attachment 4.
11.40 CARME IE514 3.8e-11mbar, ambient temperature 16.5 deg C
15.05 8x AIDA FEE64 power cables ( #1 - #8 ) installed FEE64s #1 - #8
Note - Samtec lock clips broken on 3 of 8 cables due to careless handling/poor storage
AIDA FEE64 power cables tied to one of the FEE64 copper coolant pipes.
See attachments 5-7
15.30 USB-controlled ac mains relay - USB connected to RPi CARMEbakingpi currently used for CAEN N1419ET
Rear panel key switched to override - no flow/d.p. sensors currently installed - front panel water ( yellow ) and override ( red ) LEDs active.
16.15 Transfer CARMEbakingpi from CRYRING network to AIDA 24-port Gbit network switch connected to carme-gsi
CARMEbakingpi becomes nnrpi1 p- can connect OK via ssh from carme-gsi
Start server ( port 8015 ) manually
ssh pi@nnrpi1
/MIDAS/Tcl/Httpd/linux-arm/Tcl-Httpd-server &
See attachment 8 |
Attachment 1: 1000009654.jpg
|
|
Attachment 2: 1000009651.jpg
|
|
Attachment 3: 1000009653.jpg
|
|
Attachment 4: 1000009652.jpg
|
|
Attachment 5: 1000009663.jpg
|
|
Attachment 6: 1000009662.jpg
|
|
Attachment 7: 1000009664.jpg
|
|
Attachment 8: Capture.PNG
|
|
468
|
Mon Jan 15 18:51:28 2024 |
JG, DR, TD | Monday 15 January |
Cooling water manifolds
The manifolds were returned this afternoon.
Inflow manifold - blue hose, female Swagelok connectors
Attached to inflow hose and bled using bleed/drain valve.
Small leak observed at the 3/8" connection between the manifold and the bleed/drain valve - attachment tightened and leak fixed.
Inflow manifold installed.
Outflow manifold - red hose, male Swagelok connectors
Attached to outflow hose and bled using bleed/drain valve.
Small leak observed at the weld immediately *below* the weld that had been re-worked - this weld had not been re-worked.
Significant leak observed at one of the male Swagelok connectors in the lower half of the manifold - it does not seal correctly.
DR will return this manifold to the workshop for welding re-work Tuesday am.
The faulty male Swagelok will be replaced.
AIDA cabling
16x AIDA PSU, HDMI and RJ45 Cat 6 cables and 4x SHV-BNC cables required.
Available
8x AIDA PSU cables
1x AIDA PSU cable ( in one of two white plastic storage boxs with 7x FEE64s returned from STFC DL ) now appears to be missing
16x AIDA HDMI cables
8x RJ45 Cat 6 network cables
1x RJ45 Cat 6 network cable ( network switch to CRYRING network patch panel - to be replaced )
3x RJ45 Cat 6 network cables found in AIDA plastic box ( S4 Messehutte )
3x SHV-BNC
Will check DESPEC store above ESR with NH tomorrow afternoon. |
467
|
Sun Jan 14 12:31:32 2024 |
JM, CB | FEE positions mac addresses |
*Updated following FEE changes. Correct as of 31/01/24
FEE modules are labelled according to the diagram in attachment. Note attachment is looking downstream. Each FEE module is also labelled with its assigned number and whether it is a bias connection on the FEE holders. MAC addresses and FEE descriptions are as follows;
1 - 41:d8:20 - top left detector - pn bias
2 - 41:d8:2a - top left detector -
3 - 41:d0:05 - top left detector -
4 - 41:b4:0b - top left detector - nn bias
5 - 42:0d:0c - top right detector - pn bias
6 - 41:0d:16 - top rightdetector -
7 - 41:b4:16 - top right detector -
8 - 41:ba:2b - top right detector - nn bias
9 - 41:d0:0e - bottom left detector - nn bias
10 - 41:d8:2b - bottom left detector -
11 - 41:d7:cc - bottom left detector -
12 - 41:d7:c2 - bottom left detector - pn bias
13 - 41:ba:22 - bottom right detector - nn bias
14 - 41:ee:72 - bottom right detector -
15 - 41:a0:71 - bottom right detector -
16 - 41:ee:71 - bottom right detector - pn bias |
Attachment 1: CARME-FEEs.jpg
|
|
466
|
Sat Jan 13 14:32:21 2024 |
CB, JM | Leaky manifold and cable management |
Found two leaks in the welding of the manifolds, marked them in tape. Manifold to be repaired on Monday. Remember to re-install missing hoses, which are in a bucket in the cave.
See attached how it is supposed to look like.
Left hand hose (inlet) was too short and was cut to dismount the manifold. Davide will obtain a new tube of the same type and mount it for us. NOTE THAT THE INLET connector (BLUE manifold) was leaking. Unclear if this was the hose or poor plumbing.
Installed cable management system that was never used during the last beamtime. Started to thread cables through it. There should be sufficient space to re-install the manifolds with the cable tray in place. If this is difficult, the tray is installed with zip ties only so altering position should be relativly straightforward. The mounting points of the outlet manifold on the CARME chamber can also be rotated around to give a bit more space.
Cleaned up some boxes and moved heaters and some empty boxes to the CRYRING roof. |
Attachment 1: 20240112_111838.jpg
|
|
Attachment 2: 20240112_105936.jpg
|
|
Attachment 3: 20240113_183019.jpg
|
|
465
|
Sat Jan 13 14:25:05 2024 |
CB, JM | Bias tests with all FEEs connected |
Connected all FEEs.
The two top fees corresponding to the bottom right detector are connected to damaged flexi rigid PCBs. The top one is missing an pin that should not be connected to anything, while the bottom one has a slightly cracked connector and is missing the top left pin. Spares should be in Edinburgh.
Found that the flexi rigid PCB connected to the bottom most FEE of the bottom-left detector has a damaged ground lemo connector. Possibly pulled during mounting? The bias cables were off most of the time. Seems to bias fine using the other bias lemo, but not ideal.
Attached, a V-I plot of the four detectors being biased. Note bottom-left was only biased to 120V vs. 150 since it shows relatively high leakage current. Time is wrong - bias was given over ~2 minutes. Unclear why the x-axis is incorrect.
Leakage currents for all detectors, and especially bottom-left and bottom-right drop significantly waiting a sufficently long amount of time, e.g. down to ~5.5 uA after 15 minutes.
To create this plots in case it is needed.
ssh to CARMEbakingpi
cd Programs/caenlogger
python3 caenlogger.py
This will capture the data and pass it to the server (carme-gsi) in ~/caen_current.dat where they will be APPENDED. Delete / save / move the file from the CARME server if required. Backups are in Programs/caenlogger/bias_logs
THEN Bias on
THEN
ssh carme-gsi
cd Programs/caenlogger
gnuplot plot_current.gp
|
Attachment 1: Screenshot_2024-01-13_15-24-40.png
|
|
Attachment 2: 20240113_150158.jpg
|
|
464
|
Thu Jan 11 19:57:20 2024 |
CB, JM | All FEE holders mounted |
After discussions with Davide, changed the holes in the FEE holders for the bottom-right detector to slots in order to slightly change the angle that the FEE inserts into the flexi rigid PCB. This was sufficient, with some difficulties, to insert both FEEs into the flexi rigid.
Attempted to finally mount the last two fees, the top two for the bottom-right detector, which should have been very easy. Somehow managed to break one pin on each PCB in similar spots. One is in the third column (not in use), and one is in the fourth column (in use). This latter PCB connector was unfortunately dropped while trying to dismount it, and the ERNI connector cracked. That connector can be used anymore? Cannot find spares in GSI, must be in Edinburgh?
Dismounted all the hoses from the manifold, and starting to remove teflon from the plugs. Will use instead gaskets + anti-sieze compound. Quite a number of plug thread are damaged, likely in welding. Unclear if this was the reason behind the leaks. Should be done with the manifolds tomorrow. |
463
|
Wed Jan 10 19:27:08 2024 |
CB, JM | Manifold and more bias tests |
Mounted water manifold on CARME with help from GSI plumber. Connected all the hoses with Swagelok self-locking connectors. Tested for water leaks - it leaks from nearly every port. The issue appear to be the connections between the manifold and the plugs, as opposed to the plugs and the hoses which do not leak.
Will try to find a solution tomorrow.
FEEs connected from bottom-left, top-left and top-right detector.
Top right detector showed same behaviour described in previous ELOG entry. Removed all jumpers from PCBs. Beahaviour is gone.
Removed all jumpers from flexi-rigid PCBs for bottom-left detector. Behaviour is improved, but not gone - still 13 uA at 150V. Around 3.5 uA at 120V with no conditioning - that may be the working point.
Missing
- Power cables and ethernet cables for half the fees
- Fix leaks on water manifold
- Fix / change / re-arrange fee holder for bottom-right detector to avoid it fouling on CARME frame. |
462
|
Wed Jan 10 10:22:41 2024 |
CB, JM | Some FEEs mounted - issues with Det#2 (bottom left) |
Started mounting some FEEs. We ran into some mechanical issues due to the new ERNI connectors on the new flexi-rigid adaptor PCBs. Davide skimmed 6.5 mm off the edge with the groove (the groove is gone). This is suffiicent to support the flexi-rigid and in spite of our earlier concerns, the flexi-rigid can be mated/un-mated with the FEEs without major alignment issues.
We still have issues for the bottom-right detector, where the FEE holder will just not fit - will probably figure something out with Davide.
We observe a peculiar issue with the bottom-left detector. At 150V, the leakage current for this detector as tested with just the adaptor cards on the feedthroughs that carry the bias (no FEEs) is of the order of 3 uA.
We mounted all four flexi-rigid PCBs - still 3 uA.
We mounted the FEE that slots into the bottom-most feedthrough (which corresponds to the n-n bias). Still 3 uA.
We mounted the FEE that slots in to the second bottom feedthrough (not corresponding to bias). 15 uA! Upon lowerint the bias, the leakage current goes to zero around 30V as opposed to 0V like all the other detectors, and like this detector when this particular FEE is not mounted.
Tests were performed exchanging all PCBs, and all FEEs. The same behaviour is observed. It is not an issue with the FEE or the PCB. We suspect some cross-contact between detector bias and some strip that feeds into the second FEE? In any case, it is most likely a vacuum side issue - nothing we can do now.
The detector can be biased to 80V or so without major issue, and it appears this behaviour improves over time, i.e. leaving the bias on reduces the leakage current dramatically so it may even be possible to reach 150V.
See plots attached. Note in 2nd plot the plotting script has some issues - detector names are correct until the bias in Ch#2 is brough to 150V, then the colours are incorrect. Only Ch#2 was being changed. Note the drop in leakage current by a factor 2 just increasing the bias to 150V for a few seconds and returning down. |
Attachment 1: Screenshot_2024-01-10_11-59-39.png
|
|
Attachment 2: Screenshot_2024-01-10_12-56-36.png
|
|
461
|
Mon Jan 8 19:29:57 2024 |
CB, JM | Manifold repaired + fee assembly in bench |
Davide extracted the stuck blind plugs and re-threaded all missing ports. Completed manifold assembly, except for main inlet/outlet - left for plumber.
Cut 8x2 2m hoses and assembled with Swagelok quikfit and teflon ferrules.
We're missing back ferrules in brass for the repaired fees. It should be possible to buy them from GSI.
Attempted assembly of fee, Flexi rigid and black delrin support on bench. Davide shimmed the black delrin supports by 6.5 mm from ridge. They now fit length wise on new adaptor cards. Unfortunately, the decision to flip the connector means the Flexi rigid is no longer at the correct height for the erni connector in the fee. See attached.
Inserting the Flexi rigid without the black support is too dangerous, and defeats the point of having rails. Will probably mount Flexi rigids tomorrow on black support, not screw into the fee holder, and align by eye. Tried once and it works - see last two attachments - but it will have unclear impact on the ease to unmount the fee without damaging the Flexi rigid and will make mounting fee holder on flanges harder. No alternative solutions in current timeframe. |
Attachment 1: 20240108_164756.jpg
|
|
Attachment 2: 20240108_163218.jpg
|
|
Attachment 3: 20240108_163916.jpg
|
|
Attachment 4: 20240108_164010.jpg
|
|
460
|
Mon Jan 8 19:27:36 2024 |
CB, JM | Leak current tests overnight |
Left bias in overnight. Leakage current decreased and stabilised. See attached. Bias off as we prepare to mount the fees. |
Attachment 1: Screenshot_2024-01-08_09-56-20.png
|
|
459
|
Sun Jan 7 15:38:57 2024 |
CB, JM | Manifolds mostly assembled + bias overnight check |
Assembled most of the connectors on the new (green) manifolds. Two blanking pulgs stuck when mounting - cannot remove them or tighten them. Swarf? Will ask Davide's help tomorrow. Cannot use the manifold until this is fixed.
Checked FEE assembly in cassette. Need to shorten black Delrin supports by ~6 mm. Holes should end up in the correct position thanks to rails being effectively longer (same length, different hole position on FEE cassette). Will ask Davide to skim one if possible. If not, will have to mount without supports.
Finally managed to get the CAEN program working to control N1419 remotely from Baking Pi. Plotting bias and leakage current overnight to test stability. See attached. IE514 sensor off for these tests. |
Attachment 1: Screenshot_2024-01-07_16-38-26.png
|
|
458
|
Fri Jan 5 12:29:10 2024 |
JM, CB | Further bias tests |
Biased detectors this morning to 150V, the leakage current for all detectors appears stable and is ~3.5 uA. We have also replaced the CAEN bias module so that all channels are now functional. Detectors are connected as follows;
CHN0 -> Top left
CHN1 -> Top Right
CHN2 -> Bottom Left
CHN3 -> Bottom Right
Reconnected the motors and moved the detectors while biased. No observable change in the leakage current during movement at 150V. An increase in the pressure of the electron target was observed during movement (up to 1e-9 mbar). This increase was only momentarily, and the pressure recovered after a couple of seconds. We expect the pressure increase from movement to decrease over time.
|
457
|
Thu Jan 4 13:15:10 2024 |
CB, JM | Tent removed - bias test |
Removed tent, octagon, Neg cables.
Disconnected and removed Baking Pi, TC08, thermocouples.
Tested new rails, plates, fees - it fits. Need to check on the flange next.
Will soon turn off CARME IE514 gauge to bias test the DSSDs.
All four detectors were bias tested using the new flexi-rigid adaptor cards. Note the LK-1 jumper was removed from the adaptor cards so that 'open line' was observed between the bias lemo and the ground. Attachment 1 shows VI curves for all detectors. The maximum leakage current for all detectors appears lower than previous bias tests eg elog 400 -> temperature was ~17C today compared to ~20C for elog 400. We also performed a bias test using the old adaptor cards to see if there was any difference between the old and new cards. No significant difference was observed. For the top left detector we initally observed a significantly large leakage current (4.2 uA at 20V, 8.7 uA at 30V) which had ~1 uA fluctuations. We left for 5 minutes to see if it stabilised and the leakage current suddenly dropped to what is shown in the plot. This leakage current then appeared stable for the rest of the test. Perhaps this effect was observed by Tom but for the top right detector in elog 421?
We plan to connect the bias as we did in the 14N+d measurement so that we can monitor and plot the leakage current over the weekend. |
Attachment 1: Bias.png
|
|
456
|
Wed Oct 18 16:47:36 2023 |
JG, CB | Power outage |
Local power outage at the CRYRING today, while JG was trying to activate the ion pumps. Unlcear what caused it.
Valve on scroll worked as intended, but CARME reacehd 1E-7 mbar from turbo (?) venting.
Power restored. Pressure back in the 1E-11 mbar range, decreasing.
Temperature still well above room. |
455
|
Tue Oct 17 08:43:47 2023 |
CB | NEG activation -2 |
09:40 - Found temperature and pressure stablised, but too high to comfortably activate NEGs closest to detectors. Detector temperature around 90C. This was expected. Ramped down UHV1400 #1,2,3,4 to P=50W (200C?). Ramped down heaters to 100, 80, 100C. Ramped down heating jacket to 60C.
10:00 - Activated set #5 (x2) at 80W tramp=30, thold=infinity; set#6 (x3) at 120W rest as #5. This is different from previous procedure because we have 4x DSSD but sensor only one one of them. It seems less dangerous to heat up everything at once so that the one TC we have is hopefully representative of the situation.
10:15 - CARME cooling. No visible effects on temperature. Tried P#5 100, P#6 150 W. (500C roughly?) Will probably have to decrease.
10:25 - Detectors getting hotter quickly, but still below 100C. No changes for now.
10:35 - As expected, detectors are getting too hot, too quickly. Decreased power to 60, 90 W
10:43 - Ramped down #5,6 to 40, 60 W. Should be around 200C at equilibrium.
10:45 - Also decreased #1,2,3,4 to 40W, and D2000 to 150C.
10:52 - Further decreased #5,6 to 10, 15W.
11:04 - Temperature back under control
11:10 - Detectors actually cooling now. Increased #5,6 to 20,30 W.
11:18 - Detectors still cooling. Increased #5.6 to 30,45W. If stable plan to leave like this until later this afternoon.
13:23 - Temperatures stable. Increased #5,6 to 40, 60 W. Decreased heaters to 80,80,80 C. Decreased jacket to 40C. Opened the tent partly to connect the vacuum division non-bakeable ion pump cable.
13:35 - Ion pump cable connected and tent closed as best I can. Interestingly, the pressure is going up probably as a result of the detector/kapton cables temperature going up due to the slight increase in #5,6 power.
14:15? - Graziano S. flashed the vacuum divison ion pump.
14:25 - Pressure keeps increasing slightly. I am taking this as a sign the kapton is outgassing, which is being made to out gass which is good. Decided to leave temperatures as they are until later today and start cooling down in the late afternoon / evening. Decreased slightly #5,6 to 34, 51W. Increased D2000 to 200C. Will leave like this if stable.
15:00 - Looks stable. See attachment 3. Leaving for a few hours, before proceeding to gradual cool down overnight. Will ask Jan for help in turning everything really off.
18:00 - All NEGs off. All apadev 10&11 channels to 40C. Ion pumps remain off. Asked JG to come tomorrow late morning and turn the apadev channels off and the ions pumps on. |
Attachment 1: Screenshot_2023-10-17_09-45-23.png
|
|
Attachment 2: Screenshot_2023-10-17_11-04-09.png
|
|
Attachment 3: Screenshot_2023-10-17_14-56-55.png
|
|
Attachment 4: Screenshot_2023-10-17_17-58-24.png
|
|
Attachment 5: Screenshot_2023-10-17_17-58-51.png
|
|
454
|
Mon Oct 16 08:28:02 2023 |
CB | NEG activation -1 |
9:00 - Michael's online vacuum pressure plot is no longer working - an old image is being displayed. CARME temperatures around 80-85C. Lowered heater temperatures to 150, 100, 150 C for safety. Lowered jacket temperature to 80C
9:15 - Flashed ion pumps several times. Small pressure increase, up to ~7E-9 but not macroscopic
9:30 - Started D-2000 #1,2. T=400, tramp 30, thold 90 (this was a mistake 1,3 are behind the detectors, 2,4 are to the side. They should ideally be activated together. Got confused. Not a big deal.)
9:50 - Online vacuum plot is working again. Pressure changing, internals around 80C
10:00 - Internal temperature still stable at 80C. Started D-2000 #3,4. T=400, tramp 30, thold 90
11:30 - For unclerar reasons the pressure has been increasing over the last half hour, after the four NEGs were activated. Nikos and the alignment group were adjusting the feet of the interaction chamber to improve the alignment. Hopefully this is not a leak. Section 10 was apparently vented overnight, and may be in air at the moment.
11:45 - D2000 activation complete. Set temperature to 200C for all four of them. Altough the temperature is still >375C, the pressure drops very quickly. This is good, but I have not observed this behaviour before.
13:10 - Pressure and temperature mostly stable. Turning on UHV1400 groups #1, 2 with at P=250W, tramp 30s, thold 90s.
13:35 - No effect on DSSD temperature. Increasing P->300W as per previous activation procedure.
13:43 - Still no sizeable effect. Increased P->350 W
15:00 - Completed activation of UHV1400 sets #1,2. Set power to 100W. Activated sets #3,4 at P=250W, same settings as other two.
15:30 - Increased UHV1400 #3,4 to P=300 W. Temperatures OK.
15:37 - Increased UHV1400 #3,4 to P=350 W. Temperatures increasing but still acceptable.
16:06 - Temperatures are starting to get a bit too high. Decreased P->300W. Also decreased heaters to 125, 80, 125C and baking jacket to 70 C.
16:26 - Decreased UHV1400 #3,4 to P=250W.
17:05 - Completed activation of UHV1400 sets #3,4. Set power to 100W. Planning to leave stabilising overnight. |
Attachment 1: Screenshot_2023-10-16_17-17-30.png
|
|
453
|
Sun Oct 15 09:35:03 2023 |
CB | Starting ramp down |
10:40 - Status as attached. Heaters setpoint/read at 250 C, 150 C, 225 C. Power stable at 53%, 41%, 63%
10:45 - Informed HKR ramp down / pump activation is starting for CARME only. Setpoints to 200, 125, 200 C. Monitoring slow ramp down.
14:10 - Heater temperatures reached the set points. Power stabilising. CARME internals temperature dropped to around 100 C and still falling. Waiting until later today to further reduce heater setpoint. Will probably aim for 80 C before beginning activation.
15:45 - Heater setpoints to 175, 100, 175 C
15:50 - Interaction chamber jacket setpoints to 100C
18:00 - CARME temperature decreasing, not stable yet. Will check later tonight.
20:43 - CARME internals around 85C, not quite stable still. Waiting overnight. |
Attachment 1: Screenshot_2023-10-15_10-35-47.png
|
|
Attachment 2: Screenshot_2023-10-15_17-56-00.png
|
|
452
|
Tue Oct 3 08:48:36 2023 |
TD | Tuesday 3 October |
09.40 CARME IE514 1.79e-8 mbar, ambient temperature 20.7 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 1-3
No change to ELOTECH R4000 set point |
Attachment 1: 1000007432.jpg
|
|
Attachment 2: 1000007431.jpg
|
|
Attachment 3: 1000007434.jpg
|
|
451
|
Mon Oct 2 08:41:43 2023 |
TD | Monday 2 October |
09.35 CARME IE514 1.95e-8 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 1-3
No change to ELOTECH R4000 set point
17.45 CARME IE514 1.91e-8 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 4-6
No change to ELOTECH R4000 set point |
Attachment 1: 1000007409.jpg
|
|
Attachment 2: 1000007408.jpg
|
|
Attachment 3: 1000007407.jpg
|
|
Attachment 4: 1000007416.jpg
|
|
Attachment 5: 1000007415.jpg
|
|
Attachment 6: 1000007413.jpg
|
|
450
|
Sun Oct 1 10:24:50 2023 |
TD | Sunday 1 October |
11.20 CARME IE514 3.53e-9 mbar, ambient temperature 20.6 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 1-3
Change ELOTECH R4000 set points for CARME heaters #1 and #3 to 200 deg C, heater #2 to 150 deg C
14.10 CARME IE514 6.12e-9 mbar, ambient temperature 20.7 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 4-6
Change ELOTECH R4000 set points for CARME heaters #1, #2 and #3 to 225, 175 and 225 deg C respectively.
18.10 CARME IE514 1.92e-8 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 7-9
Change ELOTECH R4000 set points for CARME heaters #1, #2 and #3 to 250, 150 and 225 deg C respectively.
All other ( active ) ELOTECH R4000 set points ( interaction chamber etc ) to 130 deg C.
20.25 CARME IE514 2.14e-8 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 10-12
No change to ELOTECH R4000 set points |
Attachment 1: 1000007352.jpg
|
|
Attachment 2: 1000007354.jpg
|
|
Attachment 3: 1000007353.jpg
|
|
Attachment 4: 1000007360.jpg
|
|
Attachment 5: 1000007358.jpg
|
|
Attachment 6: 1000007361.jpg
|
|
Attachment 7: 1000007368.jpg
|
|
Attachment 8: 1000007369.jpg
|
|
Attachment 9: 1000007370.jpg
|
|
Attachment 10: 1000007377.jpg
|
|
Attachment 11: 1000007375.jpg
|
|
Attachment 12: 1000007374.jpg
|
|
449
|
Sat Sep 30 10:58:14 2023 |
TD | Saturday 30 September |
10.50 CARME IE514 7.14e-10 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 1-3
Change ELOTECH R4000 set points for CARME heaters #1 and #3 to 125 deg C
14.20 CARME IE514 8.25e-10 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 4-6
Change ELOTECH R4000 set points for CARME heaters #1 and #3 to 150 deg C
18.30 CARME IE514 1.29e-9 mbar, ambient temperature 20.7 deg C
CARME bakeout monitor, ELOTECH R4000 temperature controller front panel and Grafana bakeout dashboard- attachments 7-9
Change ELOTECH R4000 set points for CARME heaters #1 and #3 to 175 deg C and heater #2 to 125 deg C |
Attachment 1: 1000007236.jpg
|
|
Attachment 2: 1000007239.jpg
|
|
Attachment 3: 1000007237.jpg
|
|
Attachment 4: 1000007251.jpg
|
|
Attachment 5: 1000007250.jpg
|
|
Attachment 6: 1000007252.jpg
|
|
Attachment 7: 1000007256.jpg
|
|
Attachment 8: 1000007257.jpg
|
|
Attachment 9: 1000007255.jpg
|
|
448
|
Fri Sep 29 08:54:29 2023 |
TD | Friday 29 September |
09.50 CARME IE514 9.0e-11 mbar, ambient temperature 21.3 deg C
CARME bakeout monitor and ELOTECH temperature controller - attachments 1 & 2
10.15 Commence bakeout ramp
Set all ELOTECH PID temperature controller zones ( channels ) to 50 deg C
Expect all non-CARME zones to reach temperature set point quickly. There will be a delay for the TCs corresponding to the CARME heaters but the internal CAME TCs
will respond more slowly- will observe response of CARME TCs over time.
10.35 ELOTECH temperature controller - attachment 3
11.10 CARME TCs change from c. 25-28 deg C to 28-35 deg C
11.15 Set all ELOTECH PID temperature controller zones ( channels ) to 100 deg C
12.15 CARME IE514 1.39e-10 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor and ELOTECH temperature controller - attachments 4 & 5
14.20 CARME IE514 3.62e-10 mbar, ambient temperature 20.8 deg C
CARME bakeout monitor, ELOTECH temperature controller front panel and Grafana bakeout dashboard- attachments 6-8
15.20 Whilst all of the ELOTECH temperature controller TCs are at their current set point ( 100 deg C ), the CARME TCs are not yet at equilibrium. Plan to leave system
in current state until tomorrow morning. Assuming CARME is at equilibrium will then increment ELOTECH temperature controller TC set points.
17.50 CARME IE514 6.59e-10 mbar, ambient temperature 20.6 deg C
CARME bakeout monitor, ELOTECH temperature controller front panel and Grafana bakeout dashboard- attachments 9-11 |
Attachment 1: 1000007175.jpg
|
|
Attachment 2: 1000007174.jpg
|
|
Attachment 3: 1000007176.jpg
|
|
Attachment 4: 1000007178.jpg
|
|
Attachment 5: 1000007177.jpg
|
|
Attachment 6: 1000007189.jpg
|
|
Attachment 7: 1000007190.jpg
|
|
Attachment 8: 1000007188.jpg
|
|
Attachment 9: 1000007227.jpg
|
|
Attachment 10: 1000007228.jpg
|
|
Attachment 11: 1000007229.jpg
|
|
447
|
Thu Sep 28 09:19:50 2023 |
TD | Thursday 28 September |
10.20 CARME IE514 8.6e-11 mbar
CARME bakeout monitor and ELOTECH bakeout controller status - see attachments 1 & 2
The CARME bakeout monitor shows recovery from test yesterday evening. The ELOTECH controller was switched to manual mode for the 3x CARME zones and 5% power selected
for 45 minutes. CARME TCs increased from mid-20s to high 20s/low 30s over a period of 45 minutes. At the conclusion of the test power was set to 0% for all 3x CARME zones
and the mode changed from manual to controlled. |
Attachment 1: 1000007142.jpg
|
|
Attachment 2: 1000007143.jpg
|
|
446
|
Wed Sep 27 15:56:41 2023 |
TD | Wednesday 27 September |
16.55 CARME IE514 8.2e-11 mbar
Vacuum pressure increased slowly overnight from c. 6e-11 mbar yesterday to c. 8e-11 mbar today following low power startup tests of the bakeout controller heating
the CARME interaction and detector chambers yesterday and today.
Attachment 1 - bakeout controller config courtesy Anton Kalinin
Attachments 2 & 3 - bakeout controller summary displays and CARME bakeout monitor display c. 17.00 today
The 3x CARME heaters are connected to controller #4 zones # 1-3, i.e. lower right display, zones 1-3, mains fuses F9-F11
17.30 3x ( of 4x ) SAES D-2000 ion pump controllers ( SIP modules ) switched off. Fourth controller was already switched off and SHV cable disconnected from rear panel of
SIP module. |
Attachment 1: 1000007129.jpg
|
|
Attachment 2: 1000007127.jpg
|
|
Attachment 3: 1000007128.jpg
|
|
445
|
Tue Sep 26 10:13:14 2023 |
TD | ELOTECH PID Temperature Controller R4000 manual ( German and English ) |
|
Attachment 1: BA-Isoheat_R4000-XX-X-000-X-X_DE_V1.03.pdf
|
|
Attachment 2: R4000-XX-X-000-X-X_EN_V1.30.pdf
|
|
444
|
Tue Sep 26 08:08:42 2023 |
TD | Tuesday 26 September |
09.07 IE514 pressure 6.4e-11 mbar, ambient temperature +20.3 deg C
Using appc218 ( account carme ) workstation by the CARME 19" rack
ssh pi@carmebakingpi
Re-connect to bakeout monitor app
screen -dR
See attcahment 1
12.02 Vacuum group ion pump disconnected and RGA removed from CARME chamber - outside of CARME bakeout tent 'closed' - see attachments 2-4
Vacuum group IE514 to be disconnected
14.45 Vacuum group disconnect their IE514
Inside of CARME bakeout tent closed
Photos of the CARME bakeout tent inside and outside CRYRING - see attachments 5-7 |
Attachment 1: 1000007087.jpg
|
|
Attachment 2: 1000007090.jpg
|
|
Attachment 3: 1000007091.jpg
|
|
Attachment 4: 1000007089.jpg
|
|
Attachment 5: 1000007096.jpg
|
|
Attachment 6: 1000007095.jpg
|
|
Attachment 7: 1000007094.jpg
|
|
443
|
Mon Sep 25 16:33:32 2023 |
TD | Removed tape from heaters TC |
17.33 IE514 pressure 6.4e-11 mbar, ambient temperature +20.8 deg C
The thermocouples on the 3x groups of heater elements have been detached from direct contact with the heating element and now float abpove the heating element by 2-
3cm - see attachments 1 & 2
The vacuum group IE514 and Ametek RGA are still attached to CARME - see attachment 3. Have requested vacuum group remove them.
The 'air side' of the Be window on the interaction chamber is under vacuum provided by small Agilent scroll pump.
|
Attachment 1: 1000007072.jpg
|
|
Attachment 2: 1000007067.jpg
|
|
Attachment 3: 1000007070.jpg
|
|
442
|
Fri Sep 22 12:35:46 2023 |
JM | Bakeout plots |
Attached are plots for the previous bakeout of CARME which may aid in the bakeout to come in the following week.
Plots relate the heater temperatures and heater powers with the chamber temperature (internal floating thermocouple) and pressure inside CARME. |
Attachment 1: Heater-chamber-temps.png
|
|
Attachment 2: pressure-chamber-temp.png
|
|
Attachment 3: Heater-power-chamber-temp.png
|
|
Attachment 4: Heater-power-temp.png
|
|
441
|
Wed Sep 13 15:00:39 2023 |
ML | Vacuum and Heater temperature plots from Grafana |
Attached are the plotted curves from vacuum and heater temperature (actual and set values from ISOHEAT controllers) during the bakeout process. Channel assignment as per post 433. |
Attachment 1: Screenshot_2023-09-13_15-56-34.png
|
|
Attachment 2: Screenshot_2023-09-13_15-58-06.png
|
|
Attachment 3: Screenshot_2023-09-13_15-59-37.png
|
|
Attachment 4: Screenshot_2023-09-13_15-59-01.png
|
|
Attachment 5: Screenshot_2023-09-13_15-58-31.png
|
|
440
|
Sat Sep 2 10:04:55 2023 |
CB | Turbo GV closed |
Found CARME in XHV at 1.1E-11 mbar. Chamber temperature around 30C cooling slowly.
Closed GV to top turbo. Pressure goes up but appears to recover around 2.5E-11 mbar, on line with several previous observations that sectioning off the turbo results in a higher reading in the IE514.
Left turbo on. Remember to not turn it off when removing the tent. |
439
|
Fri Sep 1 08:11:40 2023 |
CB | NEG activation - 2 |
9:10 Set heaters temperature 300 -> 250 C in preparation for cooling down and to help keep DSSD temperature down. Started activating set 6 (3 X DSSD) at 120 W as per previous procedure.
9:15 Realised previous choice is a mistake in the current configuration as the DSSD TC is closer to set 5. Set 6 off. Set 5 to 60W. No effects in temperature. Ramped slowly to 200W. No immediate effect in temperature, while vacuum goes up.
9:30 Started activating set 6 at 300W (=100 per module). This should give us the best feedback on DSSD temperature from the only TC we have since they should all be at similar temperatures.
9:40 DSSD TC shows temperature decreasing rapidly (-17C/h). That's nonsense. The TC cannot be working properly, which means activation is now quite dangerous. Looking at strain relief TC instead from now, which is still at 85C. Set 5 down to 30W for safety while set 6 finishes ramping up.
9:45 DSSD TC still reading nonsense at 35C cooling by 20C per hour. Strain relief TC at 85C heating up by 10C per hour is more realistic. Vacuum worsening as set 6 reached 300W. Immediately ramped down to 120W. Leaving for 30 minutes, or less if strain relief temperature increases too much.
9:57 Strain relief heating quickly at 90C. Decided to turn off ALL negs for safety. Set heaters to 200C.
10:18 Strain relief temperature finally slowing down heating rate. DSSD TC beings displaying increasing temperature. The TC wire on the TC-08 module is clearly damaged.
After lunch, turned on the four ion elements of the D-2000. Pump#4 is not working, and apparently module #2 also has issues. Used module #4 on pump#2 to get three working pumps. Others will have to be investigated when the tent is removed and we can have some access to the pumps.
Tried closing gate valve to turbo. Vacuum does not seem to really improve. Opened. Will try again tomorrow morning.
Vacuum division ion pump on as well. |
438
|
Thu Aug 31 08:36:34 2023 |
CB | NEG activation |
Found CARME at 50C, a bit cooler than expected, probably due to invasive tent changes yesterday to mount missing wires. Increased heaters temperature to 300C
9:35 Started D-2000 #1,3 (behind the DSSD). T=400, tramp 30, thold 90
11:20 Set D-2000 1,3 to 200C. Turned on D-2000 2,4 as above
13:15 Set D-2000 2,4 to 200C. Turned on UHV1400 set 1 at 250W, tramp 30, thold infinity (will ramp down manually as required)
13:20 No appreciable effects on DSSD temperature. Turned on set 2 as well, which should be mounted close nearby.
13:45 Both sets reached 250W. No effects on detector temperature. Increased to 300W. Vacuum gets slightly worse, but recovers quickly. Not worth further increasing power. Leaving for 90 minutes.
15:20 Strain relief TC at 75C quite hotter than detector TC at 35C. Might be normal. Vacuum division IE514 must have been degassed remotely? Decreased set 1,2 to 75W. Turned on sets 3,4 to 250W as above.
15:45 Sets 3, 4 now at 250W. Detector TC heating quickly at 13C/h but nowhere near dangerous temperatures yet. Decreased sets 1,2 to 50W. Leaving for 90 minutes.
17:15 Sets 3, 4 to 50W. Slightly worrying temperature difference between detectors and strain relief around 65C. Different materials and different positions could explain this.
17:40 Oddly, detector temperature now going up sharply (temperature diff. down to 40C) since the uhv1400 power was decreased. Cannot think of s logical reason, it may just be a coincidence and heat transfer is catching up.
Leaving overnight. Will turn on the last two groups of UHV1400 tomorrow morning. |
437
|
Wed Aug 30 10:28:36 2023 |
CB | Preparing for pumps on |
We need to connect the non-bakeable (max 80C) wire of the ion pump belonging to the vacuum division, and we also need to connect the two newly-repaired NEG cables to the D2000 modules. That requires partly opening the tent to gain access.
Set heaters temperature from 450 C -> 400 C - CARME floating TC temperature goes from ~98 C to ~88C.
Set heaters temperature to 350 C. Left to cool over lunch.
Connected GSI vacuum division ion pump and IE514
Connected D-2000 upstream left/right with repaired cables
Flashed all ion pumps. Ion pump #4 was flashed a couple of times, but has now started arcing. The issue is not with the module - either the wire or the ion element are damaged.
Set heaters to 300 C. Left to cool a bit more overnight. Planning on NEG on tomorrow. |
436
|
Wed Aug 30 09:33:13 2023 |
CB, OG | NEG cables repaired |
Repaired Neg wires for D2000 with Oemer's help.
Cable #2 is fine.
In Cable #4, wires 1 and 2 are swapped but it's impossible this happened when the connector came apart. The pins are crimped and secured with a screw. Most likely it was sold like this and there's no difference between wire 1 and 2 (same voltage).
Edit: SAES confirmed 1&2 are interchangeable. |
Attachment 1: 20230830_102519.jpg
|
|
Attachment 2: 20230830_102514.jpg
|
|
435
|
Mon Aug 14 09:22:56 2023 |
JM | Bakeout |
14/08/23
Temperature in the baking volume is ~ 42 C and the pressure is 4.6e-8 mbar. Current heaters set point is 135 C. This will now be increased to 200 C to start increasing the baking temperature more significantly.
Some issues with the interaction chamber heaters were noticed this morning. This included very large increases in temperature despite only small increases in the setpoint. When this occurred the heater channels were simply turned off. Channel 3 on the interaction chamber (main jacket on the centre of the chamber was also observed to smoke slightly). This smoking was seen when the temperature for channel 3 was ~ 70 C.
Changing the settings of these heaters appears to have resolved most of the issues. The heaters now ramp in a much more controlled manner and remain roughly constant at the setpoint value. The interaction chamber heaters were all increased to 70 C with no issues observed. Channel 3 also appears OK.
The CARME heaters settings have also been changed and now the heaters temperature and power output do not oscillate as observed previously. Power output per heater is approximately 15 % of total at heater temperatures of 220 C, and this value remains consistent over time. The temperatures inside the baking volume are now ~ 50 C with the pressure equal to 7e-8 mbar. Heating has not been the priority while investigating the issues observed with the heaters.
As a precaution CARME will now be maintained at the 50C level. The interaction chamber and e-target temperatures have been set to 50 C also. If the system remains stable overnight we will again begin increasing the temperature in the morning.
15/08/23
Temperatures across the whole of YR09 have remained very stable overnight. Temperature of CARME has increased slightly and is now ~ 55 C. Pressure is ~1e-7 mbar. A brief lincrease in pressure was observed yesterday due to the de-gassing of the GSI ion pump on CARME. Plan is to now increase the temperatures of YR09 to the 100 C level
Temperature is ~ 70 C. Heating is slower than expected as I am aiming to minimise thermal gradients across the chamber, which was previously done automatically by our baking code. Current heater temperature values are ~ 320 C. I have increased the temperatures across YR09 to 70 C to match carme . Pressure in CARME is 2.1e-7 mbar.
16/08/23
The temperatures of the CARME heaters were further increased to ~ 420 C to further increase the chamber temperature. Average chamber temperatures of ~93C achieved. Heater temperatures can be pushed to 450C which should allow us to achieve 100 C. Will allow chamber to equillibriate as temperature gradient between top and bottom is quite large.
17/08/23
Baking will be unsupervised over the weekend. To remain safe, the berylium window and the interaction chamber will be kept at a temperature of 50C. Carme will be reduced to ~60C during this period.
Attached are plots for the bakeout period concerning this week. |
Attachment 1: 20230814_150430.jpg
|
|
Attachment 2: 20230815_094400.jpg
|
|
Attachment 3: Heater-power.png
|
|
Attachment 4: Heater-ITC-temp.png
|
|
Attachment 5: Pressure-ITC-temp.png
|
|
434
|
Sat Aug 12 15:06:51 2023 |
JM | Initial bakeout tests |
12/08/23
Baking testing. Aim to find relationship between heater temperature setpoint and the temperature of the chamber and floating temperature in the baking volume. Behaviour of the heater tempertures and ability to control the heating is also required. Ambient chamber temperature ~22 C. Interaction chamber temperatures will be raised to the level of the CARME chamber throughout, starting temperature of the interaction chamber with heating off is 28 C. P = 7.5e-9 mbar
CARME thermocouple temperatures can be read from the monitoring code which is currently running in a screen session on the baking pi. Heater temperatures and pressure in YR09 can be read from Grafana.
15:40 CARME heaters turned on, set point 50 C. Ramping is ~ 1C per minute for the heaters.
16:00 Thermocouples inside baking volume show small increases. Setpoint increased to 60 C.
16:10 Setpoint increased to 80 C.
16:30 Setpoint increased to 100 C.
16:45 Setpoint increased to 120 C
17:00 Setpoint raised to 150 C. Average chamber temp ~ 25.2 C, bottom of the chamber is ~28C.
17:45 Setpoint was maintained at 150 C, temperatures of the heaters continued to rise past this setpoint and were floating about 200-230 C with large variations in the temperature. At lower setpoints the heater temperature appeared to rise linearly with the 1 C per minute. I do not believe I currently have control of the heating. Average chamber temp 28.8 C with bottom of the chamber ~35-37 C. Heating has been turned off while I grab some food. P = 1e-8 mbar
Next test will be to try and maintain a consistent heater tempreture for a longer period of time, probabably at a lower set temperture.
13/08/23
10:00 Heater setpoint to 50 C. Will maintain at this level for a while to look at increase in chamber temps and see if heater temp remains stable. P = 8.52e-9 mbar
11:47 Heater temperatures have been constant at ~ 55 C for the last hour. Little heating observed in the chamber, requires much larger temperature to heat the baking volume. Setpoint increased to 100 C.
13:10 Heater temperatures have been constant at ~103 C for the last 40 mins or so and appears stable. Temperatures are rising at a steady rate but temps are still low. Interaction chamber heating jackets activated to be a same temperature as CARME. Will require higher temperatures to heat the baking volume.
16:15 Heater temperaturtes have remained constant at ~103 C. Temps inside the baking volume remain low, Increasing heater temperature to 135 C.
18:30 Heaters temperatures remain stable. Larger fluctations are apparent than at a setpoint of 100C with temps between 140 and 150 C. Chamber temperatures increasing avg is ~ 35C, was aiming for 50C over the weekend. Increasing setpoints to 170 C.
20:50 Heater tempretures are stable. Average chamber temp is ~ 40 C. Interaction chamber heaters set to 40 C to keep constant with CARME. Pressure has increased to 2.9e-8 mbar. Heater power outputs are visible in last image, heaters currently turn on at ~80% max power about twice every 5 mins. I expect temps of 250-300 C are required to obtain significant temp increase up to 100 C on the chamber. Chamber temps appear to be fairly stable at this point, will leave for now and check back in a few hours.
23:00 Heater setpoint temp reduced to 135 C to maintain temperatures inside the baking volume overnight with no increase. Heater power has now reduced to < 50 % full power when the eaters are on. Pressure in the chamber is 4.4e-8 mbar.
I believe I now understand the heating system and plan to increase the chamber temperature throughout the day tomorrow. Aim is to get close to full bakeout temperatures. |
Attachment 1: 20230813_114600.jpg
|
|
Attachment 2: 20230813_132550.jpg
|
|
Attachment 3: 20230813_170829.jpg
|
|
Attachment 4: 20230813_205613.jpg
|
|
Attachment 5: 20230813_210238.jpg
|
|
433
|
Sat Aug 12 11:57:51 2023 |
JM | Updated thermocouple positions and heater channel layouts |
Heater channel layouts for the interaction chamber and carme in attached documents.
Updated thermocouple positions; (front section is closest to gas-target, rear section is moving detector end)
- 1-1 Internal TC 1
- 1-2 Internal TC 2
- 1-3 Internal TC 3
- 1-4 CARME - top of the front section
- 1-5 CARME - bottom of the front section
- 1-6 CARME - top of the mid section
- 1-7 CARME - middle of the mid section
- 1-8 CARME - bottom of the mid section
- 2-1 Float - bottom of mid section
- 2-2 CARME - middle of rear section
- 2-3 Float - middle of the front section
- 2-4 CARME - bottom VAT valve
- 2-5 Float - middle of the rear section
- 2-6 CARME - Top elbow
- 2-7 Float - top of the mid section
- 2-8 CARME - rear beam pipe
Thermocouple posiitons have been updated in the monitoring code, including the averages for the CARME chamber. |
Attachment 1: Cryring-YR09-CARME-Layout.pdf
|
|
Attachment 2: Cryring-YR09-CARME-Layout2.pdf
|
|
Attachment 3: Cryring-YR09-CARME-Layout3.pdf
|
|
Attachment 4: Cryring-YR09-eTarget-Layout1.pdf
|
|
Attachment 5: Cryring-YR09-eTarget-Layout2.pdf
|
|
Attachment 6: Cryring-YR09-eTarget-Layout3.pdf
|
|
431
|
Thu Aug 10 07:51:43 2023 |
JM | Baking tent and heater testing |
09/08/23
Attached is the current pumpdown plot taken from grafana.
I have started to re-work the baking tent in order to close gaps from its initial installation. The heaters work and were tested to a temperature of 50C. Testing is required to gauge the heater temperature required to heat the baking volume, we suspect the heaters will be several hundred degrees.
11/08/23
Baking tent has been fully constructed again, see photos attached. Some thermocouples have been re-positioned, new thermocouples positions are given in elog 433. GSI ion pump cable has been connected. Roughing pump on the outside of the berylium window has been connected and berylium window is at < 10 mbar. |
Attachment 1: current-pump-down.png
|
|
Attachment 2: 20230812_120951.jpg
|
|
Attachment 3: 20230812_120925.jpg
|
|
Attachment 4: 20230811_152942.jpg
|
|
Attachment 5: 20230811_152926.jpg
|
|
Attachment 6: 20230811_152923.jpg
|
|
Attachment 7: 20230811_152918.jpg
|
|
Attachment 8: 20230811_152913.jpg
|
|
430
|
Thu Jul 27 09:43:56 2023 |
RSS | Thermocouples connected -2 |
10:30 IE514 1.80e-08mbar
Most of the CARME is covered by the baking tent. The tent needs to be closed and a bit covered from the front (upstream), end (downstream), and bottom side. TCs are labeled with a marker in the sequence 1-1 to 2-8.
1-1 Internal TC 1
1-2 Internal TC 2
1-3 Internal TC 3
1-4 CARME front top
1-5 CARME front bottom
1-6 Top hanging middle
1-7 CARME middle middle
1-8 CARME middle bottom
2-1 CARME middle top
2-2 CARME back middle
2-3 Elbow
2-4 Valve
2-5 Magnet pipe
2-6 CARME back top
2-7 CARME back float
2-8 CARME back bottom
Also, TC extensions would be needed to connect to TC-8 modules. |
Attachment 1: IMG_9920.jpg
|
|
Attachment 2: IMG_9919.jpg
|
|
429
|
Wed Jul 26 08:28:09 2023 |
RSS | Building tent |
09:26 IE514 1.96e-08mbar |
Attachment 1: IMG_9897.jpg
|
|
Attachment 2: IMG_9899.jpg
|
|
Attachment 3: IMG_9901.jpg
|
|
Attachment 4: IMG_9902.jpg
|
|
428
|
Tue Jul 25 09:12:15 2023 |
RSS | Building tent |
10:10 IE514 2.0e-08mbar
Upstream part of the CARME is covered. |
Attachment 1: BD01C0C8-5181-49B8-8751-AD4C99A459CC.jpeg
|
|
Attachment 2: 7B3836F1-8A7E-491B-AFDC-46033BF84760.jpeg
|
|
427
|
Mon Jul 24 10:40:14 2023 |
RSS, TD | Pump down continues |
11.40 Pirani 1.00e-3 mbar IE514 2.23e-08mbar
15.15 7d/24h vacuum plots |
Attachment 1: vacplot.png
|
|
Attachment 2: vacplot24.png
|
|
426
|
Sun Jul 23 10:13:48 2023 |
RSS, TD | Building tent |
11.15 Pirani 1.0e-03mbar IE514 2.72e-08mbar
16.35 Pirani 1.0e-03mbar IE514 2.61e-08mbar |
Attachment 1: DBE0DA2E-2F69-469C-B645-3E33E3D82138.jpeg
|
|
Attachment 2: 857185AC-3571-41E9-88AE-9F624445135B.jpeg
|
|
Attachment 3: E69ED1D1-444E-478A-A3B4-481023B4024D.jpeg
|
|
Attachment 4: 610048A3-25F5-459C-9EF1-83EFEFDE51C9.jpeg
|
|
425
|
Sat Jul 22 09:19:59 2023 |
RSS, TD | Thermocouples connected |
10.20 Pirani 1e-03mbar IE514 3.26e-08mbar
12.53 CARME Leybold IE514 gauge OFF
Top right DSSSD bias -20V leakage current -10.51uA
Three internal ThermoCouples (TCs) -labeled 1, 2, and 3 in attachment 1 - were attached and tested on the TC-08 boards. Only 2 showed the ambient temperature, see attachment 3. 1 and 3 showed some strange numbers, see attachments 2 and 4 respectively.
15.28 CARME Leybold IE514 gauge OFF. Top right DSSSD bias -20V leakage current -10.44A
All the TCs are attached to TC-08 modules. See attachments 5-7. Once all TCs were plugged into TC-08 modules, they were fine.
TC-08 A0067
1. Internal TC 1
2. Internal TC 2
3. Internal TC 3
4. CARME front top
5. CARME front bottom
6. Top hanging middle
7. CARME middle middle
8. CARME middle bottom
TC-08 A0066
1. CARME middle top
2. CARME back middle
3. Elbow
4. Valve
5. Magnet pipe
6. CARME back top
7. CARME back float
8. CARME back bottom
Heating Jackets placed beneath the heaters. See attachments 8-10. |
Attachment 1: 8FE76383-1CCE-45AE-A589-ABD38F1BB304.jpeg
|
|
Attachment 2: 482F59E4-AC5E-4DEC-8D99-3DE1E9CD77C2.jpeg
|
|
Attachment 3: 6FAE2064-8F7B-4CF7-ADA2-B89B7DD39FB7.jpeg
|
|
Attachment 4: F6628AD2-D2B2-4DF3-A2E9-2E56BEFC6D98.jpeg
|
|
Attachment 5: 8D296DD9-0285-4254-8691-7E2CB42A0623.jpeg
|
|
Attachment 6: 72C0BF3E-A0C3-496A-A37D-5893C7302462.jpeg
|
|
Attachment 7: FDF5D49E-FAA2-4CC9-A416-727FDA95E8C3.jpeg
|
|
Attachment 8: B852C067-86CC-4B9A-9B19-2786C6DF96E6.jpeg
|
|
Attachment 9: 4DD9700B-B59C-4BE5-99D7-00364C44D590.jpeg
|
|
Attachment 10: E99CCFF8-3084-426A-AA1D-F992780E7E29.jpeg
|
|
424
|
Fri Jul 21 08:21:54 2023 |
TD, RSS | Baking tent manual |
09.20 Pirani 1.00e-3 mbar IE514 3.90e-8 mbar
Today - install TCs, connect to Pico controller and test
10.00 Bakeout tent setup guide (draft) - attachment 1
14:30 Tested all TCs and are fine
05.25 Pirani 1.00e-3 mbar IE514 3.71e-8 mbar |
Attachment 1: CARME-baking-guide_(1).pdf
|
|
423
|
Thu Jul 20 08:29:26 2023 |
TD | Installing tent. Two NEG power cable broken. |
09.28 Pirani 1.00e-3 mbar IE514 5.45e-8 mbar
12.10 Bakeout tent frame installed
SAES UHV1400 NEG pump power cables #1-6 installed
See attachments 1 & 2
16.35 Attachments 3-6
upstream baseplate
3x sets of heaters beneath CARME chamber connected to CRYRING heater/TC manifold - attachments 7-8
16.45 Pirani 1.00e-3 mbar IE514 4.80e-8 mbar
17.10 SAES D-2000 #1 downstream, outside NEG power + HV ( attachment 9 - OK cable connector )
SAES D-2000 #3 downstream, inside NEG power + HV
SAES D-2000 #4 upstream, inside HV
SAES D-2000 #2 upstream, outside HV
2x ( of 4x ) SAES D-2000 neg power cables were broken - forward-shell detached (unscrewed ) from back-shell remaining attached to D-2000 neg power connector.
Re-assembly is straightforward but it is unclear how the 6x female sockets are aligned with the connector keys of the forward-shell (attachments 10-11 cf. attachment 9).
Until this is understood these 2x SAES D-2000 neg power cables should not be used. |
Attachment 1: 20230720_120957.jpg
|
|
Attachment 2: 20230720_121016.jpg
|
|
Attachment 3: 20230720_162938.jpg
|
|
Attachment 4: 20230720_163700.jpg
|
|
Attachment 5: 20230720_163651.jpg
|
|
Attachment 6: 20230720_163655.jpg
|
|
Attachment 7: 20230721_093447.jpg
|
|
Attachment 8: 20230721_093452.jpg
|
|
Attachment 9: 20230721_092923.jpg
|
|
Attachment 10: 20230721_094608.jpg
|
|
Attachment 11: 20230721_094642.jpg
|
|
422
|
Wed Jul 19 08:17:26 2023 |
TD | Leak testing |
09.16 Pirani 1.00e-3 mbar IE514 8.77e-8 mbar
Leak tester c. 5e-12 mbar.l/s (leak tester valved off)
09.48 Pirani 1.00e-3 mbar IE514 8.67e-8 mbar
Leak tester c. 3.5e-12 mbar.l/s (leak tester valved off)
10.33 Pirani 1.00e-3 mbar IE514 8.55e-8 mbar
Leak tester c. 4e-10 mbar.l/s (leak tester connected to CARME roughing line)
10.55 Leak tester remains at few e-10 mbar.l/s - we do not understand why
With leak tester in differential mode the highest reading around the COF600 seal is c. 3.5e-12 mbar.l/s
Following checks elsewhere on the chamber we observe c. 6e-12 mbar.l/s which presumably reflects accumulation of He around the chamber
Dispersing He with compressed air reduces diff mode reading to c. 2e-12 mbar.l/s
11.00 Pirani 9.80e-4 mbar IE514 8.47e-8 mbar
14.49 Pirani 1.00e-3 mbar IE514 7.71e-8 mbar
Leak tester isolated from CARME backing line - CARME Edwards scroll pump now backing turbo. |
421
|
Tue Jul 18 08:16:28 2023 |
TD | Pumping down. Issues found with one detector. |
09.15 Following overnight pumping of CARME chamber by Leybold turbo
Pirani 1.00e-3 mbar IE514 2.83e-7 mbar
The Leybold IE514 gauge currently in use is the CARME unit ( serial # 1054 ). The calibration data can be found at https://elog.ph.ed.ac.uk/CARME/56
09.44 Pirani 1.00e-3 mbar IE514 2.71e-7 mbar
10.10 Leak tester attached to backing line
Valves to leak tester and turbo (connection to Edwards scroll pump in between) closed.
Stop Edwards scroll pump with magnetic valve 'red' button - magnetic valves closes and Edwards scroll pump is switched off.
Open valves to leak tester and then (slowly) turbo.
Wait for leak tester to settle in e-12 mbar.l/s range. Leak tester pressure 3.6e-3 mbar. IE514 2.69e-7 mbar.
11.00 Pirani 1.00e-3 mbar IE514 2.42e-7 mbar
Leak tester 1.8e-10 mbar.l/s
13.04 Pirani 1.00e-3 mbar IE514 2.06e-7 mbar
Leak testing.
Downstream DN100 flanges from CARME to dipole OK
Lower NEG power connector feedhtrough (downstream chamber) OK
Left hand side (looking downstream) bellows OK
c. few e-9 mbar.l/s leak bottom left (lookimg downstream) of COF600 wire seal
Additional torque to M16 bolts bottom left - c. 10 bolts -> 220Nm+
c. 0.1-0.3e-11 mbar.l/s
Further checks around circumference of COF600 seal reveal some small leaks c. e-11-12 mbar.l/s.? However background rate
in leak tester too high for sensitive test - will await recovery to resume checking.
14.00 Pirani 9.8e-4 mbar IE514 1.96e-7 mbar
Leak tester 4.9e-10 mbar.l/s
14.35 DSSSD test
IE514 OFF
Ambient temperature 21.5 deg C
Top right
-10V -4uA
-20V 10uA
c. -30V > -20uA trip
Top left
-150V -5.15uA
Bottom left
-150V -4.97uA
Bottom right
-150V -6.33uA
Top right (repeat)
-20V -10uA
-25V -14uA
15.28 IE514 ON
Pirani 9.8e-4 mbar IE514 1.87e-7 mbar
15.40 c. few e-9 mbar.l/s leak around magnetic valve - probably not an issue in terms of backing performance but may interfere with/confuse leak testing
16.05 Pirani 9.8e-4 mbar IE514 1.74e-7 mbar
Leak tester 1.2e-9 mbar.l/s
Will leave CARME pumping with leak tester on roughing line and see whether leak rate recovers. If so, will continue leak tests tomorrow.
18.50 Pirani 1.00e-3 mbar IE514 1.48e-7 mbar
Leak tester < 1e-12 mbar.l/s (leak tester valved off) |
420
|
Mon Jul 17 08:45:11 2023 |
TD | Closing CARME again |
Grosmantage are scheduled to arrive 10.00 to inspect COF600 seals and their fit to the COF600 flange. They may decide to adjust the seals so that they fit the flange
under
tension.
Once Grosmontage are satisfied with the seal and its fit we can close the CARME chamber and start pumping down.
Attachment 1 - photo of bakeout tent parts including those adapted/modified by Davide.
18.40 Grosmontage were re-tasked this morning.
Davide, Omer, Cosmas checked alignment of COF600 male and female flanges.
4x COF600 seals were checked - for some seals we observed wave over a ~ 10cm section of the circumference and/or surface scratches/roughness.
Also observed that COF600 seals appeared to be too large - consequently the wire seal would be seated well ( i.e. in contact with the flange surface )
for the majority of the circumference but would lift from flange surface for part(s) of the circumference.
The fourth COF600 seal seated well over all of the circumference and we decided to proceed with closing the chamber.
COF600 flange bolts tightened sequentially 5, 10, 20, 30, 40, 60, 80, 100, 120, 140, 160Nm.
ML roughed CARME chamber slowly ( < 1mbar/s ) to protect Be window. Turbo started at 1.3mbar. Pirani gauge indicated e-3 mbar range when turbo at speed.
18.53 IE514 gauge ON pressure 4.05e-5mbar.
19.10 Pirani 1.42e-3 mbar IE514 3.02e-5 mbar |
Attachment 1: 20230717_093106.jpg
|
|
419
|
Fri Jul 14 08:27:17 2023 |
TD | CARME open - inspecting seal |
09.20 CARME chamber at atmospheric pressure as expected.
Will open CARME chamber and inspect COF600 wire seal for similar (or other) issues to the seal removed yesterday. One possibility is that the COF600 flange
surfaces/structures are misaligned and part of seal is 'escaping' the seal zone. Given the flanges close easily the misalignment would have to be small.
13.30 Observations
The bolts on the upstream feet of the far detector chamber are all loose and have probably been so for some time
Based on measurements with calipers and the insertion of shims into the *radial* gap between COF600 flange surfaces the COF600 flanges may be misaligned and rotated
transversely by a fraction of a millimeter. The challenge is that the chamber is not designed for fine transverse adjustments and it is not easy to measure the changes.
The Pfeiffer recommended procedure for closing COF seals is to start tightening bolts *diametrically* and then to tighten sequentially
https://www.pfeiffer-vacuum.com/en/know-how/mechanical-components-in-vacuum/connections/detachable-flange-connections/cof-flanges/
The plan
Adjust position of far detector chamber and secure upstream feet bolts
Close COF600 flange using Pfeiffer recommended procedure
Today is Friday so it will probably be Monday before we can pump the CARME chamber
13.50 Another view
Grosmontage also inspected the COF600 seal this afternoon. They said that it was important that the seal should be installed under tension to ensure that it remained
in position as the flanges were closed and the bolts begin to be tightened. They think the seals are a little too large in circumference but that they can shorten one on
Monday morning. |
418
|
Thu Jul 13 08:46:44 2023 |
TD | Replacing wire seal |
09.30 CARME chamber status
Edwards scroll pump ON
Edwards Speedivac valves #1 & #2 OPEN
VAT gate valves OPEN
Re-connect Leybold Pirani gauge to local display/control
CARME chamber pressure 2.4mbar
Scroll pump should be able to achieve < 0.1mbar - indicates significant leak
Davide will first check bolt torques for all flanges opened during most recent vacuum cycle
12.05 Additional torque did not resolve problems
ML test COF600 seal with isopropanol and observed increased chamber pressure
Will open COF600 seal after lunch
13.55 COF600 seal removed - one section marked by Kapton tape shows some distortion and a burr on the inner diameter - see attachments 1 & 2.
ML speculated that perhaps the seal was lightly too long and a section of circumference was forced outwards?
Vacuum surfaces cleaned with isopropanol and tissues - red/brown discoloration probably indicating copper observed
14.30 New COF600 seal installed, flanges closed, bolts finger tight, bolts then systematically torqued in small increments
COF600 torques finger tight, 10, 20, 30, 40, 60, 80, 100, 120, 140, 160Nm x2
DN100 flanges doenstream finger tight, 8, 16, 24Nm
16.40 Start scroll pump and slowly rough chamber
18.00 Roughing CARME chamber pressure flatlines c. 30mbar indicating a large leak.
ML ran some isopropanol around COF600 flange and observed response in roughing pressure of c. 0.2-0.3mbar at c. 30mbar which appears to confirm COF600 is leaking.
|
Attachment 1: 20230713_135351.jpg
|
|
Attachment 2: 20230713_135416.jpg
|
|
417
|
Thu Jul 13 00:12:45 2023 |
JM, CB | CARME closed |
The CARME chamber was closed and pushed back into position on the ring.
Prior to moving back onto the ring, the detectors were bias tested and the distance between the detectors was also checked using the motors. Bias test was performed before the detector movement tests and before moving the chamber back onto the ring.
Attached images shows the separation between the detectors when moved in togther. Separation is < 10 mm. Motors logs will be checked to place safety limits on the movement.
The chamber was pumped down. At 2 mbar the turbo was turned on, but the pressure would not decrease below 1e-2 mbar. The turbo was switched off and the pressure increased to 2 mbar. Isopropanol was sprayed on the wire seal causing an increase in the pressure. Leak on the wire seal. |
Attachment 1: Bias110723.png
|
|
Attachment 2: fullyin.jpg
|
|
Attachment 3: fullyin-measured.jpg
|
|
416
|
Thu Jul 13 00:12:19 2023 |
JM, CB | Bellow replaced |
The left (inside ring) bellow for the motors rod was replaced.
Replacing the bellow involved;
- One person holding the metal plate the detectors are mounted on (cabling remains plugged in) while the operation is performed.
- The motors box is supported by the cryring crane by its mounting points
- Bolts on the flange connecting to the chamber can then be undone. The whole motors section (rod included) is then moved out of the chamber using the crane and someone to stabilise the rod as it slides off the detector plate.
- Once off the chamber, the steel cover can be removed by disconnecting from the flange and from the motors box. Best to perform on a table.
- The bellow is then removed by removing the nuts on the small flange (kf 25) connecting the bellow to the box. The bolts for these bolts are very long and go through the motors box from the moving metal plate seen in the attached image.
- Follow these steps in reverse to install the new bellow.
While replacing the bellow, it was noticed the long bolts for the small flange were too short. It was therefore very difficult to re-attach the nuts with any torque. The thickness of the head of the bolts was shortend to effectively lenghten the bolt which was sufficient to tighten the nuts. New bolts should be bought in case of replacing the bellows again.
|
Attachment 1: 20230705_164523.jpg
|
|
415
|
Thu Jul 6 15:26:39 2023 |
JM | Leak update |
The leak on the actuator arm bellows has been attempted to be sealed using UHV vacuum seal spray. The spray had the opposite to the desired effect and the leak rate increased. When spraying with helium the leak rate had increased to 1e-9 mbar l/s. After lunch a second application of the vacuum seal spray was attempted, however the leak was not sealed. The base leak rate had also increased to the 1e-10 level up from in the morning and yesterday. With the leak increasing in size and risk of a full failure, we are no longer able to move forward with the bakeout.
The chamber has been vented and we will move forward with plans for replacing the bellow tomorrow.
Attached plot shows the most current pumpdown. |
Attachment 1: pumping.png
|
|
414
|
Wed Jul 5 19:27:13 2023 |
JM | Pump down and leak test |
CARME and the interaction chamber have been pumping for ~26 hours, P=4.15e-7 mbar, attached figures show the current pump down plot in comparison to the two previous pumpdowns in the last 2 weeks and the pump down with the bare chamber in 2020. The gradient of the pressure reduction appears to be improving for each pump down, either due to conditioning of the chamber or the reduction in leaks.
CARME and the interaction chamber were leaked tested again today. The base leak rate has reduced significantly from 1.5e-10 mbar l/s to <1e-12 mbar l/s. All flanges on the interaction chamber were tested. A leak is still visible on the gate valve, however the magnitude of the leak has decreased significantly from 2.2e-10 to 2e-11. No other leaks observed on the interaction chamber.
All flanges on CARME checked again, no leaks observed on flanges or wire seal. Leak was observed on the bellows of the left hand side (inner ring) actuator arm. Leak is not on either the small flange box side or the large flange on the chamber, but on the bellows itself. Image attached. Leak rate is 2e-10 mbar l/s. The actuator was moved with the pneumatic motor once to observed any effects, no effect on leak rate or the chamber pressure.
Replacing the bellows is very challenging and will likely require removing the detectors installed on the rod. Current plan is to continue with the baking and re-evaluate post bakeout. The bellows will be baked but should not experience the same temperatures as the flanges on the chamber. Future experiments do not require extremely forward angles so that the actuator arm can remain fixed in place to not cause a n increase in the leak, the leak rate can be compensated by the large neg pumping power.
|
Attachment 1: pumping_1.png
|
|
Attachment 2: pumping-zoom.png
|
|
Attachment 3: signal-2023-07-05-15-13-30-512.jpg
|
|
413
|
Tue Jul 4 17:08:08 2023 |
JM | Leak test and venting |
This morning the pressure achieved was 1.44E-7 mbar. Leak testing showed an overall leak rate of 1.5E-10 mbar l/s, this is below the previous leak rate but still significantly above the leak rate from elog 141 of the CARME chamber on its own. Spraying helium, a leak is visible on the VAT gate valve on the interaction chamber (inside of the ring). The leak rate increases to ~2.3E-10 mbar l/s. No increase in the leak rate was observed elsewhere on CARME or the interaction chamber. It was decided to vent again and replace the gasket and inspect the knife edges for the valve.
The valve was removed and the gasket was replaced. Several scratches are visible on the gasket which may be the cause of the leak (or damage may have been done during dismounting). While vented, the backing line was re-organised. The scroll pump is now on the outside of the ring for easier access (see attached image), the turbo pump was rotated to move all power cables and the backing line to the outside of the ring.
CARME and the interaction chamber were pumped down again and the ion gauge was activated. Vacuum can be followed via the vac plot or by grafana on the carme-gsi computer (anydesk required). |
Attachment 1: 20230704_135455.jpg
|
|
Attachment 2: 20230704_174524.jpg
|
|
412
|
Mon Jun 26 14:49:05 2023 |
JM, TD | CARME vented |
26/06/23
This morning the pressure was 4e-7 mbar. The bolts on the windows and the large flange on the bottom of the interaction chamber were tightend. No leak was present on the windows following tightening. However, the leak on the rotatable flange, although decreased in magnitude, was still in the 1e-9 mbar l/s range when helium was sprayed. The torque on these bolts was 36 Nm, which is already past the 33 Nm recommendation for M8 bolts. It was decided to vent the section and examine the flange.
Tomorrow morning the gasket will be replaced and we will begin pumping again in the afternoon.
27/06/23
Flange was removed and examined. Several scratches on the surface of the flange including on the knife edge were visible. These scratches were sanded away by the grossmontage and the flange was remounted. CARME was pumped down in the afternoon. Pressure was in the 1.5E-3 mbar range when the scroll pump stalled causing the pressure to increase and the turbo to increase in current to keep up. Error symbol was observed on the scroll, unknown to why it stalled. VAT gate valve to the chamber was closed and all pumps turned off to be safe, P~ 1mbar.
28/06/23
Jan started the scroll pump on just the backing line using the gas balast to see if too much water was pumped. Scroll running fine so the gate valve to the chamber was opened and the turbo started.
|
411
|
Sun Jun 25 14:30:06 2023 |
JM, TD | Motors bias test |
The motors were moved using the pneumatic only, in and out for several cycles to gauge the effect on the vacuum. For the left arm no change in pressure was observed. For the right arm a small increase of 0.04e-7 mbar was observed which was quickly reduced by the turbo.
The detectors were then biased to see if movement had any effect on the leakage current (large effects were observed in 2021 beamtime). Movement of the pneumatics saw no observable change in the leakage current above normal fluctuations.
Both motors arms had been sucked into the chamber by 5-10mm due to the vacuum before starting the movement cycle. After one movement cycle, the motors returned to their normal fully out positions and remained fully out. |
410
|
Sun Jun 25 10:08:30 2023 |
JM, TD | Pump-down |
23/06/23
Pumpdown started with the scroll pump 17:15 . The turbo-pump was started 17:55. Gate valve was closed and the turbo turned of at 19:20 due to no working fan on the turbo.
24/06/23
Ion gauge was activated at 11:56, P= 3.7e-5 mbar. Attached pump-down curves begin from when the ion gauge was activated.
The first plot is a comparison was a calculation for water outgassing in the chamber (which has many assumptions) but is a good guide on the expected 1/t decrease in pressure over time. The second plot is a comparison to previous turbo pump-downs in 2020 and 2021. In 2020 the chamber was bare with no instrumentation. In 2021, kapton and detectors were installed, but the pump down was conducted in several stages. Pressure readings are taken from the gauge reader in the cave, the vac plot viewer online appears to show a slightly different reading.
25/06/23
10:30 P= 7.11e-7 mbar. Opened valve on leak cart and saw a large increase in the pressure (above ion gauge level). Closed valve to leak cart, pressure recovered quickly (1.28e-6 mbar after ~ 30 mins). Pressure in the leak cart ~3e-3 mbar. Possibly small trapped volume between valves on the leak cart. Opened valve to leak cart again, no pressure increase observed in the chamber.
11:40 Leak testing. Base leak rate ~5-6e-10 mbar.l/s. Spraying helium on the seal between the bottom of the interaction chamber and the newly installed valve saw a large increase to >1e-8 mbar.l/s. Closer attention suggests the leak may be from the rotatable flange on the bottom of the interaction chamber. An increased leak rate to 1e-9 mbar.l/s was also observed on the diamond window on the inside of the ring. Spraying around wire seal and feed-through flanges on chamber on the inside of the ring gave a leak rate of 6e-10 mbar.l/s. This may be a small leak, but is most likely noise on the leak detector or drifting helium to the large leak on the interaction chamber. Cannot determine prescence of small leaks while the large leaks are active. Closing valve to leak cart saw the leak level drop to <1e-12 mbar.l/s.
Attached images show sites of the major leaks on the interaction chamber. |
Attachment 1: Figure_1.png
|
|
Attachment 2: 20230625_120640.jpg
|
|
Attachment 3: 20230625_120648.jpg
|
|
Attachment 4: pump-down.png
|
|
409
|
Sun Jun 25 09:41:06 2023 |
JM, TD | Normal turbo-pump operation |
Attached are images showing the messages for normal turbo-pump operation |
Attachment 1: 20230623_191510.jpg
|
|
Attachment 2: 20230623_191459.jpg
|
|
Attachment 3: 20230623_191450.jpg
|
|
Attachment 4: 20230623_191443.jpg
|
|
Attachment 5: 20230623_191437.jpg
|
|
Attachment 6: 20230623_191432.jpg
|
|
408
|
Sat Jun 24 10:38:42 2023 |
JM, TD | Section 9 closed, begin pumping |
23/06/23
The CARME chamber and interaction chamber were connected and section 9 has been fully closed. New valves have been installed on the interaction chamber, in addition to new diamond windows. A leak cart has been connected to the backing line of CARME. Before begining the pumpdown, the manual valve between the interaction chamber and the electron target was closed.
The pumpdown was started at 17:15, the valve on the scroll pump was very slowly opened to not damage the berylium window. By 18:00 a pressure of 1 mbar was achieved and the turbopump was activated. By 18:20 a pressure of 2E-3 mbar was achieved, the ion gauge was activated and briefly flashed 5E-4 mbar but this is over its limit so the gauge shutdown. At 19:20, 1.3E-3 mbar was achieved, the ion gauge was activated but the reading was 1.7E-4 mbar which is still over its limit. The turbo fan was not working and temperatures on the turbo were ~50C and increasing, so it was decided to close the main gate valve and turno off the turbo overnight in order to fix the fan.
A bias test of the detectors was also performed while in vacuum, T=20.5 C. All detectors show expected V-I curve and the leakage current is ~1-2 uA lower than the previous test in air, probably due to reduced light leaks. The top right detector did initially produce >10 uA at 20 V but the adaptor card was not properly seated on the p-n feed-through. Seating the adaptor card correctly yielded the expected V-I curve. Further inspection of the pins on this feed-through will be performed tomorrow.
24/06/23
Overnight the pressure had increased to 0.8 mbar. Opening the gate valve decreased the pressure by an order of magnitude. The turbo fan was fixed and the turbo was re-activated, the pressure dropped to 1E-3 mbar level within 20 minutes. Ion gauge was turned on after 30 minutes with a pressure of 3.7e-5 mbar. Pump down plot and comparison to previous pump downs will be uploaded in a seperate elog. Turbo pump maximum temperature reads 46C and has been consistent for several hours.
V-I tests completed for the right hand side detectors. Leakage currents ~0.4 uA higher than yesterday which is consistent with the increase in temperature of ~ 0.5-0.7C. |
Attachment 1: 23-06-23-VI.png
|
|
Attachment 2: 24-06-23-VI.png
|
|
407
|
Thu Jun 22 18:58:02 2023 |
JM, TD | Slide back onto ring |
The wire seal has been tightend further to 160 Nm. Open neg feed-through flange has also been fully tightend.
The CARME chamber was then slid back onto the aluminium frame on the ring. Two carriages were damaged during the sliding process, which have now been replaced. Carriages were replaced by lifting one end of the upper frame section using the crane by only ~1mm. This was sufficient to slide out the broken carriage and replace it (see images to be uploaded).. It appears there is some deformation in the rails on the temporary frame as CARME is slid across, which resulted in the damaged carriages -> further refinements are required for future slidings. The height of the chamber has been checked using the laser allignment.
The turbo pump has also been mounted to the top of the chamber . Current plan is to close to the interaction chamber tomorrow and begin pumping. |
Attachment 1: 20230622_112534.jpg
|
|
Attachment 2: 20230622_112827.jpg
|
|
Attachment 3: 20230622_120307.jpg
|
|
406
|
Wed Jun 21 18:47:36 2023 |
JM, TD | Closing CARME |
Internal CARME installations complete.
- New strain reliefs (flange side) installed on each cable harness.
- 2x sets of SAES UHV1400 NEG pumps installed and connected to 2x power feed-through flanges -> continuity checked OK -> 3x UHV1400 modules gives a resistance of 7.4 Ohms, 2x UHV1400 modules gives resistance of 4.8 Ohms.
- Pins B & D open circuit wrt ground ( DMM OL > 20M ? )
- Pin C connection to ground OK
- Internal thermocouples connected. Middle thermocouple connected to strain reliefs, right thermocouple connected to a standoff on the detector, left thermocouple floating (see attached images). Resistance on thermocouples checked for middle and right thermocouples ~ 4 Ohms per thermocouple.
V-I tests performed prior to closing CARME. All detectors show expected curve, but light leaks are present. Pressing my hand against the aluminium shield yielded 0.5-1.5 uA drop in leakage current. Could not turn off lights for the test due to other people working in the cave. Will repeat test when closed. Temperature in the cave ~ 21.5 C.
Both chamber sections have now been closed. Wire seal appeared correctly positioned immediately prior to final close. Bolts closing the wire seal have been tightend to 100 Nm, plan to increase to 140 Nm tomorrow. DN100 feed-through flanges have been tightend with a torque of 24 Nm.
Plan is to move chamber back onto the ring tomorrow and get ready for leak testing. |
Attachment 1: 20230621_104911.jpg
|
|
Attachment 2: 20230621_104918.jpg
|
|
Attachment 3: 20230621_110010.jpg
|
|
Attachment 4: 21-06-23-VI.png
|
|
405
|
Fri May 26 09:08:06 2023 |
JM, CB | Detector movement |
Hopefully we will not be opening the chamber for quite some time so find attached images of all four installed detectors and a video of them both moving into the beam axis for future reference |
Attachment 1: 20230525_135756.jpg
|
|
Attachment 2: detector-movement-in.mp4
|
404
|
Fri May 26 08:53:54 2023 |
JM, CB | Strain reliefs (flange side) installed |
Strain reliefs on the flange side of the cable harness have been installed for two detectors (top right and bottom right detectors).
We require the strain relief parts from edinburgh in order to install strain reliefs for the left two detectors.
Find attached images for the strain relief installed and a video of the clamped cables during a movement cycle.
A V-I test was performed for the two detectors which have all strain reliefs installed (see attachment 4). V-I curve appears similar to the previous test (elog 398). Aluminium foil shield is now a bit battered so may have some small light leaks. Detectors were moved using the motors while bias was applied at 150V. Small change in leakage current observed (~0.01-0.02 uA) |
Attachment 1: strain-relief-flange-side.jpg
|
|
Attachment 2: strain-relief1_(1).mp4
|
Attachment 3: 20230526_140923.jpg
|
|
Attachment 4: V-I-plot.png
|
|
403
|
Wed May 24 09:54:56 2023 |
JM, CB | Maximum detector positions |
The detectors were moved into the beam axis using the motors to check the maximum distance they can be moved in without collision with one another.
Movement of both pneumatic motors results in a separation of ~ 4 cm between the detectors.
The servo motors for left and right were each moved in by 1 cm -> results in separation of 2 cm between the detectors
The servo motors for left and right were then each moved in by 5 mm -> results in separation of 1 cm between the detectors
The separation between the jacking plate of one of the detectors and the side of the adjacent detectors is closer than the separation of the detector silicons. The detectors could not be moved in any further than this to avoid collisions from the jacking plate
Attached are photos after movement of the detectors. Parallax may affect the distance on the ruler in the photos.
During beam time -> Maximum distance that can be moved on the servo motors is 30 mm combined for left and right. (15 mm left and right or 10 mm left, 20mm right etc)
|
Attachment 1: pneumatic-in.jpg
|
|
Attachment 2: pneumatic-in2.jpg
|
|
Attachment 3: pneumatic-in-servo-10mm.jpg
|
|
Attachment 4: pneumatic-in-servo-15mm.jpg
|
|
Attachment 5: max-in.jpg
|
|
Attachment 6: max-in2.jpg
|
|
Attachment 7: max-in-zoom.jpg
|
|
Attachment 8: max-in-jacking-plate.jpg
|
|
Attachment 9: max-in-jacking-plate2.jpg
|
|
402
|
Wed May 24 08:35:12 2023 |
JM, CB | Strain reliefs (detector side) installed |
The new MACOR strain reliefs on the (detector side) have been installed. See attached photos
The two pieces of MACOR are not fully closed but we are confident that the kapton wires are sufficiently clamped.
In order for the strain reliefs to be installed in the correct poisition, two nuts on the jacking plate of the cable harness had to be removed. This is as the groove in the strain relief was not deep enough to accomadate the nut.
Attached is also a video of the wires (not) moving with the strain reliefs mounted |
Attachment 1: 20230524_092010.jpg
|
|
Attachment 2: 20230524_092017.jpg
|
|
Attachment 3: 20230524_092101.jpg
|
|
Attachment 4: 20230524_093000.jpg
|
|
Attachment 5: 20230524_092159.mp4
|
401
|
Mon May 15 07:34:22 2023 |
TD | Monday 15 May |
08.35 DSSSD#3 bias -149.95V leakage current -6.418uA temperature +19.6 deg C ( https://web-docs.gsi.de/~lestinsk/tempplot.php )
DSSSD#3 bias OFF |
400
|
Sun May 14 11:55:12 2023 |
TD | Sunday 14 May |
12.44 DSSSD#3 bias -149.95V leakage current -6.448uA temperature +19.7 deg C ( https://web-docs.gsi.de/~lestinsk/tempplot.php )
CRYRING cave lights ON - leakage current measurements @ 60s intervals
6.448
6.449
6.448
6.448
6.449
6.448
6.448
6.448
6.449
6.448
18.24 DSSSD#3 bias -149.95V leakage current -6.442uA temperature + 21.0 deg C ( https://web-docs.gsi.de/~lestinsk/tempplot.php )
CRYRING cave lights ON - leakage current measurements @ 60s intervals
6.441
6.441
6.441
6.441
6.441
6.442
6.442
6.442
6.440
6.441 |
399
|
Sat May 13 11:34:25 2023 |
TD, RSS | Saturday 13 May |
12.34 DSSSD#3 bias -149.95V leakage current -6.763uA temperature +21.2 deg C ( https://web-docs.gsi.de/~lestinsk/tempplot.php )
CRYRING cave lights ON - leakage current measurements @ 60s intervals
6.763
6.764
6.764
6.765
6.767
6.767
6.767
6.767
6.768
6.769
12.48 CRYRING cave lights OFF
13.03 leakage current -6.771uA - no obvious change due to the CRYRING cave lighting
17.31 DSSSD#3 bias -149.95V leakage current -6.658uA temperature +21.0 deg C ( https://web-docs.gsi.de/~lestinsk/tempplot.php )
leakage current measurements @ 60s intervals
6.656
6.655
6.655
6.655
6.654
6.652
6.652
6.651
6.650
6.649
CRYRING cave temperature variations/cycles ~0.1 deg C at +21 deg C ambient temperature can produce leakage current variations ~60nA for a leakage current of ~6.6uA
td@winder:~/f77$ ./jgen
*** Enter reference temperature (K)
294
*** Enter minimum temperature, maximum temperature
*** and temperature increment (K)
290 298 0.1
Temperature (K) Ratio (J(T)/J(294.0))
290.0 0.702
290.1 0.708
290.2 0.714
290.3 0.721
290.4 0.727
290.5 0.734
290.6 0.740
290.7 0.747
290.8 0.754
290.9 0.761
291.0 0.767
291.1 0.774
291.2 0.781
291.3 0.788
291.4 0.795
291.5 0.802
291.6 0.809
291.7 0.817
291.8 0.824
291.9 0.831
292.0 0.839
292.1 0.846
292.2 0.854
292.3 0.861
292.4 0.869
292.5 0.877
292.6 0.884
292.7 0.892
292.8 0.900
292.9 0.908
293.0 0.916
293.1 0.924
293.2 0.932
293.3 0.941
293.4 0.949
293.5 0.957
293.6 0.966
293.7 0.974
293.8 0.983
293.9 0.991
294.0 1.000
294.1 1.009
294.2 1.018
294.3 1.027
294.4 1.036
294.5 1.045
294.6 1.054
294.7 1.063
294.8 1.072
294.9 1.082
295.0 1.091
295.1 1.101
295.2 1.110
295.3 1.120
295.4 1.130
295.5 1.139
295.6 1.149
295.7 1.159
295.8 1.169
295.9 1.179
296.0 1.190
296.1 1.200
296.2 1.210
296.3 1.221
296.4 1.231
296.5 1.242
296.6 1.253
296.7 1.263
296.8 1.274
296.9 1.285
297.0 1.296
297.1 1.308
297.2 1.319
297.3 1.330
297.4 1.342
297.5 1.353
297.6 1.365
297.7 1.376
297.8 1.388
297.9 1.400
STOP *** Program ends |
398
|
Fri May 12 16:24:20 2023 |
RSS, TD | V-I test |
Since yesterday evening, the bottom right detector was at -150 V. In the morning, the current was quite stable ~ 6.77 uA. The bias was switched off, the foils opened, both of the detector arms were moved in and out three times, and the chamber was tightly covered again. A negative bias voltage was applied from 0 to 150 V in steps of 10 V one by one to all four detectors, and the V-I results can be seen in Attachments 1-4. All detectors seem to be fine. The variation of the current with time at a constant bias voltage of -150 V was checked for all detectors and the results are in Attachments 5-8. Also, with an applied bias voltage of -150 V to the bottom right and left detector, the detector arms were moved in and out three times which showed a variation of 1-2 nA and 1 nA in the leakage current. For further conditioning of the bottom right detector, it is again left with a negative bias voltage of 150 V over the weekend. |
Attachment 1: detector_1.png
|
|
Attachment 2: detector_2.png
|
|
Attachment 3: detector_3.png
|
|
Attachment 4: detector_4.png
|
|
Attachment 5: detector_1_variation.png
|
|
Attachment 6: detector_2_variation.png
|
|
Attachment 7: detector_3_variation.png
|
|
Attachment 8: detector_4_variation.png
|
|
397
|
Thu May 11 21:22:54 2023 |
RSS, TD | V-I test |
Today, the foil was opened, the pins on the bottom right detector were checked and looked fine, the right-hand arm was moved a bit in and then out, and the foil was tightly closed again. The negative bias voltage was applied from 0 to 50 V in steps of 10 V. During the measurement, the lights in the cave were switched off to ensure no leakage current from the light*. The results are shown in Attachment 1. To condition the detector, it was left at a negative bias voltage of 50 V for ~ 3 hours with lights on. No increase in current was seen (see Attachment 2), indicating the chamber was nicely covered with foil. Then the negative voltage was increased from 60 V to 150 V in steps of 10 V. No large current readings, like yesterday, were seen today (see Attachment 3). The detector was then left at -150 V for ~ 30 minutes with the current to be nearly stable with fluctuations in the range of 70-100 nA. The foil was then taken off to check if there are any dust particles on the detector surface. As can be seen in Attachment 6, some dust particle was found at the bias/multi-guard ring (MGR) boundary structures of the DSSSD. The area was then nicely cleaned with isopropanol + lab tissue wipe (see Attachment 7) and the chamber was covered with aluminum foil again. The negative bias voltage was applied from 0 to 150 V in steps of 10 V again and the results can be seen in attachment 5, indicating the response of the detector to be normal, unlike yesterday. Before calling the day off, the detector was left at -150 V overnight.
* Every time, before switching off the lights, it was checked that no one else was in the cave. |
Attachment 1: test1.png
|
|
Attachment 2: test2.png
|
|
Attachment 3: test3.png
|
|
Attachment 4: test4.png
|
|
Attachment 5: test5.png
|
|
Attachment 6: IMG_8800.jpg
|
|
Attachment 7: IMG_8804.jpg
|
|
396
|
Wed May 10 19:06:18 2023 |
RSS, TD | V-I test |
To test the detectors, adaptors were installed on the feed through flanges. A negative bias voltage was applied in steps of 10 V from 0 to 150 V. The V-I plots are attached for the four detectors. Three detectors - top right, top left, and bottom left (in the direction of the beam) - are fine. For the bottom right detector (in the direction of the beam), the current was fluctuating and was considerably high (1st test). The adaptors connecting to this detector were removed and checked by applying the bias voltage which showed zero current for voltages ranging from 0 to - 150 V, confirming the adaptors to be fine. They were then exchanged by other adaptors and the V-I test was repeated again (2nd test) with fluctuating and high current values. One of the flanges connecting to this detector was found to be light-tight. These tests were done at an ambient temperature of 21 degrees. Also, we couldn't find the rest of the three SHV to 2x Lemo 00 cables for the detector HV. |
Attachment 1: detector_1.png
|
|
Attachment 2: detector_2.png
|
|
Attachment 3: detector_3.png
|
|
Attachment 4: detector_4.png
|
|
395
|
Tue May 2 15:02:09 2023 |
CB, JM | All detectors and harnesses mounted |
Completed mounting harnesses and detectors.
The strain relief support for the bottom most two detectors on the feedthrough side was not mounted because we could not find enough MACOR parts and mounting flanges. We cannot find them in GSI, possibly they are somewhere not obvious in Edinburgh.
The strain relief on the detectors' side are still being manufactured.
We plan to test the detectors electrically from next week without moving the dolly. DR and OG to find a temporary mounting point for the manifold so that FEEs can be cooled in current configuration. |
Attachment 1: 20230502_153410.jpg
|
|
Attachment 2: 20230502_153503.jpg
|
|
Attachment 3: 20230502_153509.jpg
|
|
Attachment 4: 20230502_153511.jpg
|
|
394
|
Mon May 1 18:21:18 2023 |
CB, JM | All detectors mounted |
Mounted four detectors on Carme ad well as four cable harnesses.
Cables for new, shorter harnesses appear still too long. Likely the model is not correct somehow.
One feedthrough flange was found to be damaged by an adaptor card. Tried to fix in vain. One pin is missing. Replaced with another. |
Attachment 1: 20230501_191533.jpg
|
|
Attachment 2: 20230501_191511.jpg
|
|
Attachment 3: 20230501_145740.jpg
|
|
393
|
Mon May 1 08:26:09 2023 |
CB, JM | Left hand detectors remounted |
(31 April)
Remounted 3335-12 on top and new 3335-1 on bottom of left hand plate. Used new dog legs and old standoffs.
Dismounted all harnesses and feedthroughs from CARME. Dismounted all blind flanges on right hand side. Also had to dismount bottom SAES feedthrough due to a bolt falling inside.
Remounted feedthough. Remounted left hand detector plate.
Prepared new 3335-11 and 3335-13 on top and bottom of right hand plate. Planning to mount tomorrow.
Also planning to start jacking in detectors to new harnesses.
Not possible to test any detector on the bench due to lack of appropriate multimeter probe. Will have to test with harnesses. |
392
|
Sun Apr 30 10:01:39 2023 |
CB, JM | Left hand detectors unmounted |
(29 April)
Inspected CARME after opening. See attached. Some key takeaways.
- No visible damage to the detectors or the Kapton cables. No visible deposits or dust on the detectors either.
- No damage even to the fragile thermocouple wires
- The strain relief on the top and bottom did nothing. Those on the sides may have helped.
- The split funnels on top fell and fortunately missed the detectors. We should not keep them. Unclear if they feel when moving CARME or during the beamtime but either is too dangerous. We need another solution or we'll have to do without.
- Obvious traces of white dust particles over the chamber. Most likely MACOR.
Jacked out harness for bottom left detector that was not accepting bias. MACOR shavings fell off while jacking out. MACOR connector on side with no pins is clearly damaged. See attached. Should not affect performance.
Tested bias pins - they work. No visible damage to the detector except one bias bond wire has disconnected. Possibly one more nearby. TD thinks this is not enough to explain observed behaviour.
Mounting a DSSD on the plate while it hangs in Carme appears possible but very risky. Dismounted whole left support plate, and right support plate.
Unless a clear fault can be identified for either the bottom left detector or its harnesses, both the detector and the harnesses will be replaced. Plan to remount top left detector with the same harnesses.
Plan to mount two more detectors on the right hand plate. |
Attachment 1: 20230428_181020.jpg
|
|
Attachment 2: 20230428_181123.jpg
|
|
Attachment 3: 20230428_181126.jpg
|
|
Attachment 4: 20230428_181129.jpg
|
|
Attachment 5: 20230428_181131.jpg
|
|
Attachment 6: 20230428_181133.jpg
|
|
Attachment 7: 20230428_181139.jpg
|
|
Attachment 8: 20230428_181141.jpg
|
|
Attachment 9: 20230429_150436.jpg
|
|
Attachment 10: 20230429_150717.jpg
|
|
Attachment 11: 20230429_151421.jpg
|
|
Attachment 12: 20230429_151425.jpg
|
|
Attachment 13: 20230429_151428.jpg
|
|
Attachment 14: 20230429_151431.jpg
|
|
Attachment 15: 20230429_151432.jpg
|
|
Attachment 16: 20230429_151435.jpg
|
|
Attachment 17: 20230429_151436.jpg
|
|
Attachment 18: 20230429_151444.jpg
|
|
Attachment 19: 20230429_151449.jpg
|
|
Attachment 20: 20230429_151453.jpg
|
|
Attachment 21: 20230429_152026.jpg
|
|
Attachment 22: 20230429_152045.jpg
|
|
Attachment 23: 20230429_160614.jpg
|
|
Attachment 24: 20230429_162251.jpg
|
|
Attachment 25: 20230429_162257.jpg
|
|
Attachment 26: 20230429_172209.jpg
|
|
391
|
Sat Apr 29 10:12:58 2023 |
CB, JM | CARME opened |
(28 April)
Mounted rails on dolly. The rails don't smoothly connect to those on the Aluminium frame and some thin metal shims were required to get the correct height. A metal support had to be placed above the Delrin pads to support the rails above the gap between frames.
All side feet were mounted but extensive modifications were required to slotted feet due to them not being manufactured to drawing, and to Carme middle section flange width being incorrect (see previous entry).
Removed brakes and started sliding CARME out. The dolly and the aluminium frame came partly separated as the weight of the side feet was shifted on the latter. Strapped them together. No damage.
Kept sliding. Sliding when the carriages passed from one rail to the other was quite hard. The back carriage on the left looking downstream lost a single ball bearing when crossing over. A more sophisticated solution is probably required.
Once Carme was on the dolly, opened it using M8 jacking bolts and lifted away front and middle section.
No obvious damage inside but strain relief did not work as intended. Photos to be uploaded after more detailed inspection on Saturday.
Plan to install right hand side detectors over the weekend. Unclear if bottom left detector is damaged and needs to be replaced. |
Attachment 1: 20230428_094931.jpg
|
|
Attachment 2: 20230428_155649.jpg
|
|
Attachment 3: 20230428_164926.jpg
|
|
Attachment 4: 20230428_155748.jpg
|
|
Attachment 5: 20230428_165448.jpg
|
|
Attachment 6: 20230428_174021.jpg
|
|
Attachment 7: 20230428_181020.jpg
|
|
Attachment 8: 20230428_181919.jpg
|
|
390
|
Thu Apr 27 19:43:23 2023 |
CB, JM | Preparing to open |
Removed turbo pump and set aside in a box. Considering switching to the other turbo to avoid degradation due to lack of use.
Installed bellows support and OG devised support to avoid bellows sagging when Carme is split open.
Attempted to install new CARME feet, but there were issues. Feet with slots produced do not match design drawings by PB. Slot is in the wrong side. Furthermore Carme interaction chamber flange thickness is 36 mm vs. 36.5 mm in drawing. DR can modify feet to make them fit tomorrow.
Now just waiting for rails to open CARME. Will arrive tomorrow or on Tuesday, after weekend and Mayday.
|
Attachment 1: 20230427_123456.jpg
|
|
Attachment 2: 20230427_161602.jpg
|
|
389
|
Wed Apr 26 16:49:28 2023 |
CB, JM | CARME dolly mostly built |
Disconnected cables from CARME motors and turbo. The turbo has to go to allow Carme to slide out. Crane may be required to lift because it's in a very hard spot to access.
Dolly mostly built. See attached. Left side doesn't quite fit right by 1-2 mm. Might be okay anyway but will put some shims to avoid any shaking during sliding.
Waiting for the rails to arrive due to GSI procurement issues.
Plan to mount new feet and bellow support tomorrow.
Also plan to split CARME at the smaller flange towards the interaction chamber, after the bellows and before the bellows towards the magnet on the downstream side. |
Attachment 1: 20230426_152121.jpg
|
|
Attachment 2: 20230426_162619.jpg
|
|
Attachment 3: 20230426_162627.jpg
|
|
388
|
Tue Apr 25 13:22:19 2023 |
JM | CARME venting |
CARME venting using nitrogen gas bottle.
Coolant pipes dismounted from the chamber
Feed-through flange for n+n bias flange for bottom detector has a bent pin image attached. Clearer image of bent pin for ERNI connector used for aida03 also attached. All other feed-throughs and adaptor cards appear undamaged. |
Attachment 1: 20230425_134119.jpg
|
|
Attachment 2: 20230425_132944.jpg
|
|
387
|
Mon Apr 24 21:24:26 2023 |
JM | Preparation for CARME dismount |
CARME currently in vacuum, pressure ~3E-10 mbar. Chamber to be vented tomorrow afternoon by vacuum division. We have active both pirani and hot cathode gauge to aid.
Equipment removed from the chamber in preparation for dismount of CARME from the ring this week. Equipment removed includes:
- Neg pump cabling
- Heating wire
- FEE electronic units and associated cabling (power, hdmi etc)
- Stainless steel FEE holders
- Red and blue cooling hoses
Attached image shows chamber following equipment removal. Adaptor cards still attached to feed-through flanges. ERNI connnectors examined, all fine except for FEE aida03 which has a bent pin (see attached image). Will dismount adaptor cards to examine further tomorrow. Feed-through flanges will also be examined to check for bent pins following adaptor card removal.
New CARME frame is part constructed and is in the CRYRING area. Requires rails and castors which should arrive this week. The height of the frame will require shortening, to be level with the current frame. This will be looked into further tomorrow. |
Attachment 1: 20230424_155635.jpg
|
|
Attachment 2: 20230424_155607.jpg
|
|
Attachment 3: 20230424_150526.jpg
|
|
386
|
Wed Mar 22 16:33:32 2023 |
TD | NIM WR source |
Test of NIM WR source at Edinburgh
Attachment 1 - NIM WR source
NIM module upper SMA - DSO ch 1
NIM module lower SMA - DSO ch 2
Attachment 2
NO SYNC or CLK signals observed.
Noise observed with NIM bin power ON *and* OFF
Attachment 3
NIM WR source in EG&G Ortec NIM bin
... and with the Digilent Zybo board switched ON!
Attacments 4-7
Trigger ch 2
4, 40, 400 & 4000ns/div
Attachment 8
Trigger ch 1
4ns/div |
Attachment 1: 20230322_155735.jpg
|
|
Attachment 2: 20230322_160448.jpg
|
|
Attachment 3: 20230322_160453.jpg
|
|
Attachment 4: 20230322_181015.jpg
|
|
Attachment 5: 20230322_181027.jpg
|
|
Attachment 6: 20230322_181045.jpg
|
|
Attachment 7: 20230322_181054.jpg
|
|
Attachment 8: 20230322_180945.jpg
|
|
385
|
Wed Jan 18 13:32:36 2023 |
PJCS | MACB settings with either Emulator or VETAR |
When using the VITAR connected to the HDMI port of the root MACB the settings for all the MACB in the system 0x3.
This setting takes the Clock and Data line from the input HDMI and outputs it via teh HDMI output ports.
When using the Emulator connected via the SMA connectors on the back of the root MACB then the setting for the root MACB should be 0xD and all others should be 0x3.
Attached is the .jed file for programming the MACB and the .vhd source file to help with understanding of the settings. |
Attachment 1: macb_apr20.jed
|
Attachment 2: macb_apr20.vhd
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:03:27 03/16/2011
-- Design Name:
-- Module Name: macb_top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
-- NOTE all in/out notations are relative to this unit
entity macb_apr20 is
Port (
port1_sp : inout STD_LOGIC_VECTOR (3 downto 0);
port2_sp : inout STD_LOGIC_VECTOR (3 downto 0);
port3_sp : inout STD_LOGIC_VECTOR (3 downto 0);
port4_sp : inout STD_LOGIC_VECTOR (3 downto 0);
layer_sp : inout STD_LOGIC_VECTOR (3 downto 0);
layer_trigger : out std_logic ;
sync_return : in STD_LOGIC_VECTOR (3 downto 1);
selector : in STD_LOGIC_VECTOR (3 downto 0);
sync_select : out STD_LOGIC_vector(1 downto 0 );
clock200_select : out STD_LOGIC_vector( 1 downto 0 ) ;
butis_divide_reset : out std_logic ;
butis_divide_s : out std_logic_vector( 2 downto 0 ) ;
clock_5 : in std_logic ;
sync_5 : in std_logic ;
trigger : in std_logic_vector( 3 downto 0 ) ;
MBS_in : in STD_LOGIC_VECTOR (3 downto 0);
MBS_out : out STD_LOGIC_VECTOR (3 downto 0));
end macb_apr20;
architecture Behavioral of macb_apr20 is
signal port1_spi : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_spo : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port1_t : STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port2_spi : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_spo : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port2_t : STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port3_spi : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_spo : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port3_t : STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal port4_spi : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_spo : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal port4_t : STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal layer_spi : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_spo : STD_LOGIC_VECTOR (3 downto 0) := ( others => '0' );
signal layer_t : STD_LOGIC_VECTOR (3 downto 0) := ( others => '1' );
signal seli : integer range 0 to 15 := 0 ;
-- well really
signal MBS_in_n : std_logic_vector( 3 downto 0 ) := "0000" ;
begin
MBS_in_n <= ( not MBS_in);
seli <= conv_integer(not selector) ;
-- MBS signal allocations to sp lines and HDMI pin. This maps to NIM connections
-- 0 : MBS_clock10 SP0 13
-- 1 : MBS_reset SP1 14
-- 2 : MBS_reset_rq SP2 15
-- 3 : MBS_Trigger SP3 16
layer_trigger <= trigger(0) or trigger(1) or trigger(2) or trigger(3) ;
-- divider controls set for pass-through
butis_divide_reset <= '1' ; -- for now don't reset ;
process ( seli , MBS_in_n, port1_spi, port2_spi, port3_spi, port4_spi, layer_spi, sync_return ,sync_5 )
-- note : & => concatenate
begin
case seli is
when 0 => --- Master/ Root / MBS / Internal clock
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "00" ; -- select sync from port 1
clock200_select <= "00" ; -- select internal 200 MHz oscillator
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 1 => --- Master/ Root / MBS / BuTiS clock and SYNC
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "01" ; -- select sync from external using SMA input
clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 2 => --- Master/ Branch / MBS / Next layer clock next layer SYNC
port1_spo <= layer_spi(3) & layer_spi(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= layer_spi(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
sync_select <= "10" ; -- select sync from next_layer
clock200_select <= "10" ; -- select clock from next layer
MBS_out <= layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 3 => --- Slave / Branch / MBS / Next layer clock and sync
port1_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0);
port1_t <= "0100" ; -- drive clock, reset, trigger only
port2_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= layer_spi(3) & '0' & layer_spi(1) & layer_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= '0' & '0' & '0' & '0' ; -- drive nothing
layer_t <= "1111" ; -- just drive nothing down
sync_select <= "10" ; -- select sync from next layer
clock200_select <= "10" ; -- select clock from next layer
MBS_out <= layer_spi ; -- map all the signals for monitoring ?
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 4 => --- Master/ Root / MBS / BuTiS clock / Internal SYNC / External timestamp reset
port1_spo <= MBS_in_n(3) & '0' & MBS_in_n(1) & '0' ;
port1_t <= "0100" ; -- drive clock, reset, trigger only
port2_spo <= MBS_in_n(3) & '0' & MBS_in_n(1) & '0' ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & MBS_in_n(1) & '0' ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & MBS_in_n(1) & '0' ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "00" ; -- select sync from port 1
clock200_select <= "01" ; -- select external 50 MHz oscillator using SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & MBS_in_n(1) & sync_5 ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 5 => --- Master/ Root / MBS / External 50Mhz clock / Internal Sync
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "00" ; -- select sync from port 1
clock200_select <= "01" ; -- select external SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass through.
when 6 => --- Master/ Root / MBS / External 100Mhz clock / Internal Sync
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "00" ; -- select sync from port 1
clock200_select <= "01" ; -- select external SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "100" ; -- s2 is 1 for external, 00 for /2.
when 7 => --- Fast NIM input for each FEE / Next layer clock next layer SYNC
port1_spo <= MBS_in_n(0) & layer_spi(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(1) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(2) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
sync_select <= "10" ; -- select sync from next_layer
clock200_select <= "10" ; -- select clock from next layer
MBS_out <= layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 8 => --- Fast NIM input from Input 3 for each FEE / Next layer clock next layer SYNC
port1_spo <= MBS_in_n(3) & layer_spi(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= '0' & '0' & port1_spi(1) & port1_spi(0) ; -- drive the clock and reset down a layer
layer_t <= "1100" ; -- just drive the bottom two bits to the "next" port
sync_select <= "10" ; -- select sync from next_layer
clock200_select <= "10" ; -- select clock from next layer
MBS_out <= layer_spi(3) & layer_spi(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 9 => --- Master/ Root / Internal clock / sync_returns to NIM
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "00" ; -- select sync from port 1
clock200_select <= "00" ; -- select internal 200 MHz oscillator
MBS_out <= sync_return(3) & sync_return(2) & sync_return(1) & '0' ;
butis_divide_s <= "000" ; -- s2 is 0 for pass,
when 10 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= "0000" ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "01" ; -- select sync from external using SMA input
clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
MBS_out <= MBS_in_n ; -- for testing NIM I/O
butis_divide_s <= "100" ; -- s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16
when 12 => --- Master/ Root / MBS / BuTiS clock /2 and SYNC
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "01" ; -- select sync from external using SMA input
clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "100" ; -- s2 = 1 and s1,s0 decode to 00=>/2 , 01=>/4, /8 , /16
when 13 => --- Master/ Root / MBS / BuTiS clock /4 and SYNC
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port3_t <= "0100" ; -- drive clock, reset, trigger only
port4_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port4_t <= "0100" ; -- drive clock, reset, trigger only
layer_spo <= ( others => '0' ) ;
layer_t <= ( others => '1' ) ; -- disable the drive to the "next" port
sync_select <= "01" ; -- select sync from external using SMA input
clock200_select <= "01" ; -- select external 200 MHz oscillator using SMA input
MBS_out <= MBS_in_n(3) & MBS_in_n(2) & port1_spi(1) & port1_spi(0) ;
butis_divide_s <= "101" ; -- s2 = 1 and s1,s0 decode to 01=>/4
when 14 => --- Master/ Root / MBS / BuTiS clock /8 and SYNC
port1_spo <= MBS_in_n(3) & MBS_in_n(2) & '0' & '0' ;
port1_t <= "0011" ; -- drive trigger and reset request only
port2_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
port2_t <= "0100" ; -- drive clock, reset, trigger only
port3_spo <= MBS_in_n(3) & '0' & port1_spi(1) & port1_spi(0) ;
... 161 more lines ...
|
Attachment 3: zybo.jpg
|
|
Attachment 4: MACB.jpg
|
|
384
|
Thu Dec 8 14:41:19 2022 |
PJCS | Results of tests on AIDA PSU in DL T9 |
We opened one up and soldered a co-ax cable to one of the +6v power contacts at the output of the filter board.
Connecting this to a 'scope we observed a level of +/- 5mv noise with no FEE connected.
Connecting one FEE made little difference.
Re-connected the FEE to the power supply in the cabinet and wired a co-ax to a spare FEE power plug.
Ran the system with two FEEs on the bench top and two in the cabinet. The benchtop FEEs were connected to the NIM bin in the cabinet with copper braid.
Using a PB4 in the NIM bin and my test input cards on the FEE mezzanine connector, the following readings were taken using the 'integrate' function in the spectrum browser.
Shaping 8us, negative pulse.
aida02, ASIC1, ch0 Peak width => 16.58 channels ( full 16 bit spectra )
aida03, ASIC1, ch0 Peak width => 18.48 channels ( full 16 bit spectra )
aida04, ASIC1, ch0 Peak width => 18.05 channels ( full 16 bit spectra )
These could be better but the output of the PB4 was not perfect. I think ASIC 1 Ch0 is normally the worst on the mezzanine.
Attachments 1 to 6 are the waveforms and some zoomed in.
The co-ax from the power supply was connected to a 'scope and showed a noise level of +/-50mv.
Fourier analysis of this noise showed two peaks 109kHz and 218kHz. ( Attachments 7 & 8 ) |
Attachment 1: IMG_5492.jpeg
|
|
Attachment 2: IMG_5493.jpeg
|
|
Attachment 3: IMG_5495_small.jpg
|
|
Attachment 4: IMG_5496_small.jpg
|
|
Attachment 5: IMG_5497_small.jpg
|
|
Attachment 6: IMG_5498_small.jpg
|
|
Attachment 7: IMG_5499_small.jpg
|
|
Attachment 8: IMG_5500_small.jpg
|
|
383
|
Thu Dec 1 08:56:59 2022 |
AR TD | Thursday 1 December |
Photos of noise observed at each of the AIDA PSU outputs by digital oscillscope.
DAQ GOing with waveforms enabled.
Connection from AIDA PSU to scope by DMM test probes, twisted cabling, 3mm jack plug/BNC adaptor.
Attachment 1,2,3 = +5V
Attatchment 4 = -6V
Attatchment 5 = +7V
Attatchment 6 shows test setup
Repeat above test with *all* cables from AIDA PSU to FEE64s disconnected, i.e. no AIDA PSU load.
Attachment 7,8,9 = +5V
Attatchment 10 = -6V
Attatchment 11 = +7V |
Attachment 1: IMG_8378.JPG
|
|
Attachment 2: IMG_8380.JPG
|
|
Attachment 3: IMG_8382.JPG
|
|
Attachment 4: IMG_8384.JPG
|
|
Attachment 5: IMG_8385.JPG
|
|
Attachment 6: IMG_8387.JPG
|
|
Attachment 7: IMG_8393.JPG
|
|
Attachment 8: IMG_8392.JPG
|
|
Attachment 9: IMG_8391.JPG
|
|
Attachment 10: IMG_8390.JPG
|
|
Attachment 11: IMG_8389.JPG
|
|
382
|
Wed Nov 30 08:52:59 2022 |
AR TD | Wednesday 30 November |
9:40
DSSD bias and leakage current values:
-99.99 V
-13.0960 uA
Pulsar peak widths (ch FWHM)
aida01 ~ 97
aida02 ~ 103
aida03 ~ 134
aida04 ~ 131
aida05 ~ 22
Pretty similar to what was found yesterday
15.30
Per Elog 375
Measured FEE64 voltages are as follows
@ISOL 4 AIDA PSU @FEE64 aida05 nominal
(top-botttom) (right-left)
+6.05V +5.26V +5V
+6.05V +5.26V +5V
+6.05V +5.26V +5V
-6.49V -6.26V -6V
+7.78V +7.46V +7V
Adjusted PowerStax PSU voltage pots of AIDA PSU ISOL 4
@ISOL 4 AIDA PSU @FEE64 aida05 nominal
(top-botttom) (right-left)
+5.79V +4.99V +5V
+5.79V +4.99V +5V
+5.79V +4.99V +5V
-6.23V -6.00V -6V
+7.32V +7.00V +7V
Attatchment 1-12 = BEFORE change
Attatchment 13+ = AFTER change
Pulsar peak widths (ch FWHM) AFTER CHANGE
aida01 ~ 100
aida02 ~ 96
aida03 ~ 130
aida04 ~ 130
aida05 ~ 22 |
Attachment 1: Screenshot_2022-11-30_at_10.11.36.png
|
|
Attachment 2: Screenshot_2022-11-30_at_10.11.49.png
|
|
Attachment 3: Screenshot_2022-11-30_at_10.12.21.png
|
|
Attachment 4: Screenshot_2022-11-30_at_10.12.30.png
|
|
Attachment 5: Screenshot_2022-11-30_at_10.12.36.png
|
|
Attachment 6: Screenshot_2022-11-30_at_10.13.11.png
|
|
Attachment 7: Screenshot_2022-11-30_at_10.13.18.png
|
|
Attachment 8: Screenshot_2022-11-30_at_10.14.46.png
|
|
Attachment 9: Screenshot_2022-11-30_at_10.15.14.png
|
|
Attachment 10: Screenshot_2022-11-30_at_10.33.38.png
|
|
Attachment 11: Screenshot_2022-11-30_at_10.34.34.png
|
|
Attachment 12: Screenshot_2022-11-30_at_10.35.01.png
|
|
Attachment 13: Screenshot_2022-11-30_at_15.13.50.png
|
|
Attachment 14: Screenshot_2022-11-30_at_15.14.05.png
|
|
Attachment 15: Screenshot_2022-11-30_at_15.14.35.png
|
|
Attachment 16: Screenshot_2022-11-30_at_15.14.41.png
|
|
Attachment 17: Screenshot_2022-11-30_at_15.14.48.png
|
|
Attachment 18: Screenshot_2022-11-30_at_15.14.53.png
|
|
Attachment 19: Screenshot_2022-11-30_at_15.15.00.png
|
|
Attachment 20: Screenshot_2022-11-30_at_15.16.28.png
|
|
Attachment 21: Screenshot_2022-11-30_at_15.16.38.png
|
|
Attachment 22: Screenshot_2022-11-30_at_15.39.31.png
|
|
Attachment 23: Screenshot_2022-11-30_at_15.40.43.png
|
|
Attachment 24: Screenshot_2022-11-30_at_15.41.33.png
|
|
Attachment 25: Screenshot_2022-11-30_at_15.42.01.png
|
|
Attachment 26: Screenshot_2022-11-30_at_15.46.29.png
|
|
381
|
Tue Nov 29 14:37:56 2022 |
AR TD | Tuesday 29 November |
16:20
DSSD bias and leakage current values:
-99.99 V
-13.2535 uA
Pulsar peak widths (ch FWHM)
aida01 ~ 100
aida02 ~ 103
aida03 ~ 128
aida04 ~ 133
aida05 ~ 22
Pretty similar to what was found in august https://elog.ph.ed.ac.uk/CARME/377
|
Attachment 1: IMG_8240_3.jpg
|
|
Attachment 2: IMG_8241_3.jpg
|
|
Attachment 3: IMG_8242_3.jpg
|
|
Attachment 4: IMG_8243_3.jpg
|
|
Attachment 5: IMG_8246_3.jpg
|
|
Attachment 6: IMG_8247_3.jpg
|
|
Attachment 7: IMG_8248_3.jpg
|
|
Attachment 8: IMG_8249_3.jpg
|
|
Attachment 9: IMG_8250_3.jpg
|
|
Attachment 10: IMG_8252_3.jpg
|
|
Attachment 11: IMG_8253_3.jpg
|
|
Attachment 12: IMG_8254_3.jpg
|
|
Attachment 13: IMG_8255_3.jpg
|
|
Attachment 14: IMG_8257_3.jpg
|
|
Attachment 15: IMG_8258_3.jpg
|
|
Attachment 16: IMG_8259_3.jpg
|
|
Attachment 17: IMG_8264_3.jpg
|
|
Attachment 18: Screenshot_2022-11-29_at_16.40.13.png
|
|
Attachment 19: Screenshot_2022-11-29_at_16.42.37.png
|
|
Attachment 20: Screenshot_2022-11-29_at_16.47.03.png
|
|
Attachment 21: Screenshot_2022-11-29_at_16.49.07.png
|
|
Attachment 22: Screenshot_2022-11-29_at_16.49.44.png
|
|
380
|
Mon Nov 28 13:14:33 2022 |
AR TD | Monday 28 November |
|
Attachment 1: 91F62DFF-B008-4204-91E5-BD041D8E4254.jpeg
|
|
Attachment 2: EA4AFB0D-7960-4C5D-834D-AA8B5932DAC2.jpeg
|
|
Attachment 3: F05ACF42-F97E-4F0F-9174-0B997A86136F.jpeg
|
|
Attachment 4: 02F9137F-A28C-4369-B0DB-EEBCEC2A5F5C.jpeg
|
|
Attachment 5: 9ED571DA-3088-43D6-B186-44ABEA96DB93.jpeg
|
|
Attachment 6: F3526AC5-E4B8-4D8A-9D88-57B4A46B4B0D.jpeg
|
|
Attachment 7: 80088713-1F6E-4AAB-AEA0-77E6FC78DAF9.jpeg
|
|
Attachment 8: 9B5BCDB9-6774-4873-A5BD-FC2960FB3FFF.jpeg
|
|
Attachment 9: 9340303A-4F43-4C31-B420-3A4D6ACF4EA7.jpeg
|
|
Attachment 10: 574D2470-3B5E-499E-A9F7-C561E8535115.jpeg
|
|
Attachment 11: 227ED3B1-7F1E-4529-90C3-CE84CAFA121C.jpeg
|
|
Attachment 12: CB94FBF3-2FFF-4795-B03D-5FD8CFE34242.jpeg
|
|
379
|
Mon Oct 3 16:03:33 2022 |
OH, CB | TTT14 Photos |
Photos of the new TTT14 DSSD delivered to GSI.
Photos of detectors are broken up by photos of SN on box
To big to put on elog. Have uploaded to /Disk/ds-sopa-group/np/GSI/CARME/TTT14_Photos.zip and will change permissions to 777 |
378
|
Sun Aug 14 06:50:58 2022 |
TD, JM | Sunday 14 August |
07.51 DAQ continues files 22Feb/R97
ASIC settings 2022Jan31-11-52-00
slow comparator 0x64
EG&G Ortec 448 Pulser OFF
All system wide checks OK
Attachment 1 - ADC data item stats
Attachment 2 - FEE64 temps OK
Attachments 3 & 4, 8 & 9 - per FEE64 1.8.W spectra 20us FSR and 200us FSR
Attachments 5 & 6 - per FEE64 1.8.L spectra
Attachment 7 - per FEE64 stat spectra
Leakage current remains 8.36 uA, 100V.
Bias power OFF, SIP ion pump power back ON. NIM crate powered off. Motors pi and nnrpi1 still on for remote access.
Compressed air off, water off. Fee relay power off and power cable disconnected. Motors box off. Safety pin for motors reinserted.
Checked cable harnesses at cryring. 2 good short sections found and left with boxes in cryring. 2 damaged long sections (bad pin and broken ceramic) taken to repair in
Edinburgh. |
Attachment 1: 60.PNG
|
|
Attachment 2: 59.PNG
|
|
Attachment 3: 58.PNG
|
|
Attachment 4: 57.PNG
|
|
Attachment 5: 56.PNG
|
|
Attachment 6: 55.PNG
|
|
Attachment 7: 54.PNG
|
|
Attachment 8: 61.PNG
|
|
Attachment 9: 62.png
|
|
Attachment 10: 20220814_091209.jpg
|
|
377
|
Sat Aug 13 10:23:59 2022 |
JM, TD | Noise tests pt3 and pulser walk |
Waveforms and discriminator ON. Pulser re-connected to all fees via daisy chain. V=100v, I=8.36uA
Pulser peak widths (ch FWHM)
aida01 ~ 109
aida02 ~ 98
aida05 ~ 24
aida03 ~ 118
aida04 ~ 136
Attachments 2, 3 & 4 1.8.W spectra, 20us FSR
Attachment 5 FEE64 temps OK
Attachment 6 per FEE64 ADC data item rates OK
Attachment 7 per FEE64 rate spectra
Merger reset following procedure from https://elog.ph.ed.ac.uk/DESPEC/36. Keywords for future elog search NetVar, xfer links
Pulser walkthrough R94
EG&G Ortec 448 Pulser settings
Amplitudes 90,000 - 10,000 @ 10,000 step
Attenuators x5 IN
t_r 50ns tau_d 50us
polarity + (- polarity via Cooknell SA1)
Frequency int relay
Motors box turned ON, motors pi ON, dlink network connector ON. Motors box, pi and dlink all in 19 inch rack but power supply is from a uk mains extender daisy chained to vacuum mains extender. 19 inch rack power supply remains on clean line.
Pulser peak widths (ch FWHM)
aida01 ~ 105
aida02 ~ 98
aida05 ~ 23
aida03 ~ 132
aida04 ~ 144
See attachments 8 & 9
Detectors moved in by pnuematic only. Leakage current increased to 9.6 uA (same as leakage current during bias ramping)
Pulser Walk R95
Pulser settings as above
See attachments 10 & 11
Detectors moved back out . Motors box, pi and dlink still turned ON. Leakage current to 8.36 uA
Pulser Walk R96
Pulser settings as above
See attachments 1 & 12
Jumper removed from nn bias fee adaptor board (aida04). No jumpers on any adaptor boards now. V=100V, I=8.36uA
See attachments 14 & 15 - 1.8.W spectra 20us FSR
Pulser on 90,000 x5 attenuate
aida01 ~ 123, centroid ~14691
aida02 ~ 106, centroid ~ 15038
aida05 ~ 23, centroid ~ 14414
aida03 ~ 125, centroid ~ 50838
aida04 ~ 127, centroid ~ 51754
Jumper reinstalled on aida04 nn bias. Note only link 1, link2 inaccesible with fee inserted into ERNI. See attachment 13
aida01 ~ 123, centroid ~14688
aida02 ~ 114, centroid ~ 15034
aida05 ~ 23, centroid ~ 14411
aida03 ~ 118, centroid ~ 50854
aida04 ~ 135, centroid ~ 51697
Pulser off. Background run to be left overnight started 14:24 R97. V=100v, I=8.36 uA. |
Attachment 1: 51.PNG
|
|
Attachment 2: 40.PNG
|
|
Attachment 3: 41.PNG
|
|
Attachment 4: 42.PNG
|
|
Attachment 5: 43.PNG
|
|
Attachment 6: 44.PNG
|
|
Attachment 7: 45.PNG
|
|
Attachment 8: 46.PNG
|
|
Attachment 9: 47.PNG
|
|
Attachment 10: 48.PNG
|
|
Attachment 11: 49.PNG
|
|
Attachment 12: 50.PNG
|
|
Attachment 13: 20220811_172335.jpg
|
|
Attachment 14: 53.PNG
|
|
Attachment 15: 52.PNG
|
|
376
|
Fri Aug 12 15:12:37 2022 |
JM, TD | CARME measurements |
measurement 1 -string
intermediate (smaller) chamber - 2144 (mm) circumference, measured half circumference 1072 mm
outer (larger) chamber - 2160 (mm) circumference, measured 1/4 circumference 540mm
measurement 2 - foil tape
intermediate (smaller) chamber - 2156 (mm) circumference, measured half circumference 1078 mm
outer (larger) chamber - 2172 (mm) circumference, measured 1/4 circumference 543mm
Difference from centre to flat surface on outer chamber is 344 mm on drawing -> say 346 mm radius -> 2174 mm circumference
Part 620FBM600 from pfeiffer intermediate chamber 690mm diameter, 2168mm
Measurements of parts of CARME frame to the target frame as a reference for target frame position.
Attachments 3+4 show clearance between CARME frame and target frame just below interaction chamber from inside and outside of the ring. Attachment 5 is clearance in same section but above interaction chamber
Attachment 6 - measurement between outer edge of CARME frame and inner edge of target frame - 165mm
Attachment 7 - measurements between outer edge of CARME frame and inner edge of target frame - 455 mm
Attachment 8 - measurement between lower edge of CARME frame and lower edge of target frame - 1245 mm
Attachment 9 - measurement between top of VAT valve and lower edge of target frame - 233mm (left arrow), 216mm (right arrow)
|
Attachment 1: 20220810_095036.jpg
|
|
Attachment 2: 20220810_111638.jpg
|
|
Attachment 3: 20220813_142817.jpg
|
|
Attachment 4: 20220813_142930.jpg
|
|
Attachment 5: 20220813_142945.jpg
|
|
Attachment 6: measurement1.png
|
|
Attachment 7: measurement2.png
|
|
Attachment 8: measurement3.png
|
|
Attachment 9: measurement4.png
|
|
375
|
Fri Aug 12 09:06:57 2022 |
TD, JM | Noise tests pt2 |
10.07 DSSSD bias -100V leakage current -8.65uA
Waveforms and discriminators off, still on clean power supply
stats are ~10k across all fees
aida03 ~ 220
aida04 ~ 205
aida01 ~ 378
aida02 ~ 259
aida05 ~ 40
Grounding removed from all fees except nn bias fee aida04 and aida05. Grounding goes into both adaptor board and fee body
aida01 - no identifiable peak
aida02 - no identifiable peak
aida05 - double peak separated by 70 chn
aida04 - 400 shouldering
aida03 - no identifiable peak
Grounding removed from all fees except nn and pn bias fees aida04, aida01 and aida05. Grounding goes into both adaptor board and fee body
aida01 - 405
aida02 - no identifiable peak
aida05 - double peak
aida04 - 228
aida03 - 417
Grounding removed from all fees except pn bias fee aida01 and aida05. Grounding goes into both adaptor board and fee body
aida01 - 383
aida02 - no identifiable peak
aida05 - 64
aida04 - no identifiable peak
aida03 - no identifiable peak
Grounding on all fees. Grounding goes into adaptor board only
aida01 - no identifiable peak
aida02 - no identifiable peak
aida05 - 63 (wasn't changed)
aida04 - 700
aida03 - 700
Back to previous grounding on all fees
aida01 - 331
aida02 - 226
aida05 - 37
aida04 - 207
aida03 - 214
power cycle
aida01 - 326
aida02 - 238
aida05 - 46
aida04 - 212
aida03 - 195
Waveforms and discriminator back on
Removed test inputs and terminators from all fees, except aida03 (unable to reach) however the lemo is not touching the chamber
See attached waveforms compared to previous elog 32,33
Inserted test input to aida05 only directly from pulser. Width ~ 28
Inserted test input to aida01 only directly from pulser. Width ~ 329
Waveforms and discriminator off -> no impact on aida01 pulser width
Waveforms and discriminator back on
Connected a grounding cable from one of the water cooling pipes to cryring ground
aida01 ~ 205
aida05 ~ 23
aida02 ~ 105
aida03 ~ 71
aida04 ~ 148
Fees with bias attached to them aida01 (+ve) and aida04 (-ve) both show larger widths than there polarity counterpart
waveform screencap 34,35
Fee probe
7.46V left most bar
-6.26V 2nd bar
5.26V 3rd bar
5.26V 4th bar
5.25V 5th bar
Power supply probe
Top -6.05
2nd -6.05
3rd -6.05
4th +6.49
5th -7.78
new Ortec 448 pulser swapped in
aida04 ~ 166
aida05 ~ 21
Attachment 7-11 oscilloscope picture when probing fee relay power supply. Power ON fees connected. Top is attachment 7, bottom (5th) is attachment 11.
Attachment 12-16 old pulser
Attachment 17-18 new pulser
Attachment 19-23 oscilloscope picture when probing fee relay power supply. Power OFF fees connected. Top is attachment 19, bottom (5th) is attachment 23.
Attachment 24-28 oscilloscope picture when probing fee relay power supply. Power ON, fees disconnected. Top is attachment 24, bottom (5th) is attachment 28.
Attachment 29,30 probe-scope setup |
Attachment 1: 33.PNG
|
|
Attachment 2: 32.PNG
|
|
Attachment 3: 31.PNG
|
|
Attachment 4: 30.PNG
|
|
Attachment 5: 34.PNG
|
|
Attachment 6: 35.PNG
|
|
Attachment 7: 20220812_163204.jpg
|
|
Attachment 8: 20220812_163230.jpg
|
|
Attachment 9: 20220812_163319.jpg
|
|
Attachment 10: 20220812_163339.jpg
|
|
Attachment 11: 20220812_163411.jpg
|
|
Attachment 12: 20220812_163526.jpg
|
|
Attachment 13: 20220812_163601.jpg
|
|
Attachment 14: 20220812_163650.jpg
|
|
Attachment 15: 20220812_163705.jpg
|
|
Attachment 16: 20220812_163744.jpg
|
|
Attachment 17: 20220812_165322.jpg
|
|
Attachment 18: 20220812_165330.jpg
|
|
Attachment 19: 20220812_165619.jpg
|
|
Attachment 20: 20220812_165639.jpg
|
|
Attachment 21: 20220812_165652.jpg
|
|
Attachment 22: 20220812_165704.jpg
|
|
Attachment 23: 20220812_165717.jpg
|
|
Attachment 24: 20220812_165812.jpg
|
|
Attachment 25: 20220812_165839.jpg
|
|
Attachment 26: 20220812_165849.jpg
|
|
Attachment 27: 20220812_165900.jpg
|
|
Attachment 28: 20220812_165911.jpg
|
|
Attachment 29: 20220812_170337.jpg
|
|
Attachment 30: 20220813_113236.jpg
|
|
374
|
Thu Aug 11 09:25:13 2022 |
JM, TD | Noise tests |
Moved pneumatic motor in and out several revolutions
Biased detector up to 100 V, I ~ 12.4 uA ambient temp c. 21 deg C
Moving detector in increased leakge current to ~14.5 uA, moving detector out dropped leakage current back to ~12.4 uA
aida05 - pulser width 21 chn (slightly lower than yesterday)
aida01 - pulser width 124 chn
aida02 - double peak (is not currently grounded)
aida03 - 183 chn
aida04 - 212 chn
Attachment 1 1.8.L spectra p+n FEE64s
Attachment 2 per FEE64 rate spectra
Attachment 3 aida05 1.8.L, 1.8.W and rate spectra
Attachment 4 FEE64 temperatures - OK
Attachment 5 per FEE64 ADC data event stats - rates for aida01-aida04 significabtly lower than yesterday presumably due to detector bias -100V
Attachments 6 & 7 per FEE64 1.8.W spectra
Attachment 8 1.8.L spectra for n+n FEE64s
regrounded aida02 back to cryring ground - required removing star configuration of all fee grounds and making a new
aida05 - pulser width 30 chn
aida01 - pulser width 300 chn
aida02 - 220 -> double peak gone
aida03- 190
aida04 - 200
Perhaps star configuration isn't as tight as before - re tighten star section
Re tightened section -> no impact on pulser widths
Moved detector in using pneumatic -> no impact on pulser widths
Added a grounding cable from the 19 inch rack to the same CRYRING ground that the fees are connected too. Stats around 2-3k, slow comparator = 0x64, bias=100v, I=12.18 uA
aida01 ~ 260
aida02 ~ 177
aida03 ~ 178
aida04 ~ 187
aida05 ~28
Removed CRYRING ground. Fees and rack connected together still.
no change
Bias supply module is floating
ion pumps off, gauge off -> no change in waveforms, leakage current dropped to 8.7 uA
aida01 ~ 255
aida02 ~ 176
aida05 ~ 26
Removed all vacuum systems and motors power from 19 inch rack power. Connected to the rack are now: NIM bin, network switch, 2 inputs to mains relay, raspberrypi, pi dlink usb connector, VME crack
aida01 ~ 220
aida02 ~ 154
aida05 ~ 27
aida03 ~ 181
aida04 ~ 193
Moved power cable for 19 inch rack to CRYRING 'clean' power source
aida03 ~ 211
aida04 ~ 212
aida01 ~ 317
aida02 ~ 215
aida05 ~ 29
Reconnected CRYRING ground
aida01 ~ 301
aida02 ~ 203
aida05 ~ 28
aida03 ~ 181
aida04 ~ 191
Current status 17:00:
All CARME vacuum equipment is off (turbo, SIP power modules, NEG power modules, gauges) - roughing pump is on but is valved off from the turbo which is valved off from chamber
Motors and motors pi are off. Detectors are fully out and the pin has been reinserted.
Bias ON 100V, 8.5 uA. Pulser ON, all equipment connected to 19 inch rack power ON. Jumper is on link 1 for nn bias adaptor card only. |
Attachment 1: 19.PNG
|
|
Attachment 2: 18.PNG
|
|
Attachment 3: 17.PNG
|
|
Attachment 4: 16.PNG
|
|
Attachment 5: 15.PNG
|
|
Attachment 6: 14.PNG
|
|
Attachment 7: 13.PNG
|
|
Attachment 8: 12.PNG
|
|
Attachment 9: 11.PNG
|
|
Attachment 10: 10.PNG
|
|
Attachment 11: 9.PNG
|
|
Attachment 12: 8.PNG
|
|
Attachment 13: 7.PNG
|
|
Attachment 14: 6.PNG
|
|
373
|
Wed Aug 10 10:33:03 2022 |
TD, ML, JM, NP | Meeting at YR09 to discuss options for CARME operations to February 2022 |
Initial discussions of proposals to provide open CARME chamber and provide access to near and far detector chambers
We assume that we need to complete all work installing and testing DSSSDs and re-assembling CARME by Feb 2022 in readiness for bakeout.
Proposal #1
Disconnect far detector chamber section from mid-chamber section
Disconnect DN350CF flange from near detector chamber
Crane to support near detector chamber and mid-chamber sections
Remove feet of near detector chamber and mid-chamber sections
Install cradle(s) beneath near detector chamber and mid-chamber sections
Use for fork lift to remove cradle(s) + near detector chamber and mid-chamber sections - ML confirms that an appropriate fork lift is available
Proposal #2 (suggested by ML)
Design and fabricate table plus rails to extend transverse rails of CARME chamber support assembly
The CARME chamber support assembly comprises the 'feet' plus two-stage welded Al frame
Disconnect far detector chamber section from downstream section
Disconnect DN350CF flange from near detector chamber
Slide CARME chamber plus upper stage of Al support frame transversely onto table
Use crane to move CARME chamber to work area
Other discussions
Discussed workarounds to enable install of FEE64s (outside ring, upper feedthrough) which currently conflicts with longitudinal beam of gas jet target support frame.
To Do
Edinburgh
- investigate feasibility of proposal #2
- investigate how much space is required to install FEE64s (outside ring, upper feedthrough)
e.g. by extension of transverse beams of gas jet target support frame, move current assembly outwards from ring c. 20-25cm
GSI
- investigate feasibility of gas jet target support frame changes outlined above e.g. movement of gas/water services, purchase of longer cross beams etc.
Suggest meeting (online) to discuss results of these investigations and continue discussions w/c August 22 |
Attachment 1: 20220810_111638.jpg
|
|
Attachment 2: 20220810_095036.jpg
|
|
Attachment 3: 20220810_095150.jpg
|
|
Attachment 4: 20220810_095159.jpg
|
|
372
|
Wed Aug 10 10:30:04 2022 |
TD, JM | Wednesday 10 August |
09.45 Found CARME electrical power off
Reset power switch of distribution box to on
Reset fuse of 19" rack extender board
One of the SIP power modules may be faulty - others switch on OK
14.00 Checked coolant water flow OK
Transferred Netgear 24 port Gbit switch from AIDA (S4) to CARME (YR09)
Re-connected FEE64 RJ45 network cables
Re-connected nnrpi1 RJ45 network cable
Re-connected RJ45 network cable (yellow) to bottom left connector of YR09 patch panel
@CRYRING user control rooms
Connected RJ45 netwwork cable (yellow) from bottom left connector of patch panel (labelled "1-2->YR10" and "3-4->YR10") to CARME server carme-gsi p4p1 port
Retarted HttpdTcl servers on nnrpi1 and carme-gsi.
Normal 5x FEE64 power up
All system wide checks OK
FEE64 temperatures OK - attachment 1
ASIC settings
slow comparator 0x64
Pulser running through aida01-05
Detectors not biased -> lot of noise aida01-04
AIDA stats - attachments 3 & 4
aida05 pulser width ~150 channels FWHM, normal settings, shaping time 8us - attachment 4
See attachments 2-4
Tried changing ADC control register to 0xf in local controls tab -> stops waveform producing data -> no effect on pulser width (slight increase to 160 chn)
fast comparator blanked off (enable disable mask in discriminator control) -> no effect on pulser width
aida05 was ungrounded -> grounded aida05 to the same ground as aida01-04 -> pulser width reduced to 46 chns
Set ADC control register back to 0x0 -> waveforms back -> pulser width reduced to 24 chns
Changed shaping time from 8ms to 1ms -> pulser width increased to 67 chns -> changed back to 8ms shaping time -> returns to 24 chn width
Changed shaping time from 8ms to 2ms -> pulser width increased to 52 chns
Changed shaping time from 2ms to 4ms -> pulser width decreased to 33 chns |
Attachment 1: 4.PNG
|
|
Attachment 2: 3.PNG
|
|
Attachment 3: 2.png
|
|
Attachment 4: 1.png
|
|
371
|
Tue Aug 9 16:07:33 2022 |
JM, TD | Anydesk setup command line |
To log into appc218 desktop:
same login and password as to carme@atppc022.gsi.de
To start anydesk
1. make sure a monitor is plugged into the carme computer!
2. ssh into npg@carme-gsi
3. su terminal
4. killall anydesk
5. systemctl start anydesk
returns an anydesk backend, service and tray
Anydesk is now accesible
|
370
|
Tue Aug 9 13:44:16 2022 |
TD, JM | Tuesday 9 August |
14.00 CARME vacuum c. 1.8e-10 mbar - see attachment 1
open water inlet/outlet taps
NIM bin (MACBs, Ortec 480 Pulser, CAEN N1419ET) switched ON
USB-controlled ac mains relay switched ON - water & interlock LEDs active
Require VETAR2 (previous returned to Nik Kurz) and network switch (currently with AIDA@DESPEC)
Turbo pump ON - normal operation
Ion pumps ON - normal operation
16.30 ML informs us that ambient temperature of CRYRING cave has been increased from c. 16 deg C to c. 21 deg C and that this is likely the cause of the change in vacuum
pressure in YR09 and the rest of the storage ring.
obtained VETAR2 and crate
anydesk restarted |
Attachment 1: Capture_1.PNG
|
|
Attachment 2: 20220809_163450.jpg
|
|
Attachment 3: 20220809_135840.jpg
|
|
Attachment 4: 20220809_135833.jpg
|
|
Attachment 5: 20220809_135801.jpg
|
|
369
|
Thu Mar 3 15:14:21 2022 |
JM, OH | CARME packing up |
Pulser walkthrough taken for motor position 23.1mm and motor position 67.43mm.
Points taken at 30,50,70,90,110,130,150,170,190,210,230 for 23.1mm position and 230,210,190,170,150,130,110 for 67.43mm position.
Motors moved to fully OUT position and pin put back in. Current positions before closing program 19.1mm and 19.57mm.
Fee power OFF. Fee power module switched OFF and AC mains cable unplugged.
Bias suppply OFF. NIM crate for bias module switched OFF.
Water supply OFF
CARME ion gauge turned back ON |
368
|
Wed Mar 2 22:29:48 2022 |
CB, SD | Last shift with beam |
22:30 Shift handed over. DAQ OK. Target OK. Beam OK.
00:22 Temperatures OK
Stats OK
Good events OK
Scalers OK
System wide checks as usual - WR fails by 68 ns
Leakage current OK
Target OK
Motors OK
Pressure in ring 5E-10 mbar OK
Beam OK
02:26 - All OK as above
WR now fails by 80
Data rate ~800 KB/s
03:40 All OK as above
Data rate back to ~ 1900 KB/s
04:20 All OK as above
Started compressing files in WS3, monitor 1
Noticed bias is not as stable as it has been so far, but no reason for concern. See attach.
04:30 Saw a spike in counts similar to beam halo. See attachment. Did not see it before. No effects on leakage current. To be checked offline.
06:00 Could not see any more spikes
All other checks OK as before.
Data rate back to ~1000 Kb/s
06:32 Requested beam off for end of beamtime.
Left DAQ on - run R88
Turning off jet target as per Nikos instructions
Final cleanup + pulse walkthrough to be done by JM and OH later today.
Target nozzle removed - pressure in S1 now 1.93E-8 mbar
Valves closed
Entering cave to switch compressor off and change N backing pressure to 10 bar. |
Attachment 1: Stats_2022-03-03_00-23-00.png
|
|
Attachment 2: Scalers_2022-03-03_00-24-03.png
|
|
Attachment 3: GoodEvents_2022-03-03_00-23-38.png
|
|
Attachment 4: Ruth_2022-03-03_00-25-48.png
|
|
Attachment 5: Leakage_022-03-03_00-26-44.png
|
|
Attachment 6: Leakage_2022-03-03_04-22-52.png
|
|
Attachment 7: ExTotal_2022-03-03_04-27-38.png
|
|
Attachment 8: EyTotal_2022-03-03_04-27-10.png
|
|
Attachment 9: Spike_2022-03-03_04-29-57.png
|
|
367
|
Wed Mar 2 21:16:06 2022 |
JM, PM | Current status |
22:16
Temperature setpoint = 113k
Not observed noise burst again
Target density OK, S1 between 2E-8 and 3E-8mbar, E4 between 1E-9 and 1E-8 mbar.
Detector moving well, potentionmer between 23.1 mm and 67.43 mm, move in/move out counters the same. Correlation scalar on aida1
Ruthrford peak varies between 10^3 and 10^2 peak height depending on detector position, noise rate also varies with position.
Beam intensity ~1E8
Merger OK
Data rate ~ 1200 kb/sec
Temperatures OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba4 : 68
aida02 fault 0x88d3 : 0x8915 : 66
aida03 fault 0x23aa : 0x23ee : 68
aida04 fault 0x15d7 : 0x161b : 68
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Ring pressure oscillation is 30 minute cycle on all areas of the ring. |
366
|
Wed Mar 2 19:25:25 2022 |
JM, PM | Current status |
20:25
Observed short burst of low energy noise on aida1, lasted <30s (attachment 8).
Temperature setpoint = 113 K
Target density OK S1 vaies between 2E-8 and 3E-8 mbar, E4 between 1E-9 and 1E-8 mbar.
Detector moving well between 23.1 mm and 67.43mm, move in and move out counters the same. Correlation scalar on aida1.
Rutherford peak varies between 10^3 and 10^2 depending on detector position, noise peak varies with detector position -> lower further in.
Beam intensity ~1E8
Merger OK
Data rate ~ 1900 Kb/sec
Leakage current = 3.208 uA
Temperatures OK
System checks - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba4 : 68
aida02 fault 0x88d3 : 0x8915 : 66
aida03 fault 0x23aa : 0x23ee : 68
aida04 fault 0x15d7 : 0x161b : 68
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 5.5 E-10 mbar. Ring pressure tends to oosciilate between ~4 and 5.5 E-10 mbar
21:21
Burst of noise not observed again
Temperature setpoint = 113 K
Target density OK S1 vaies between 2E-8 and 3E-8 mbar, E4 between 1E-9 and 1E-8 mbar.
Detector moving well between 23.1 mm and 67.43mm, move in and move out counters the same. Correlation scalar on aida1.
Rutherford peak varies between 10^3 and 10^2 depending on detector position, noise peak varies with detector position -> lower further in.
Beam intensity ~1E8
Merger OK
Data rate ~ 1900 Kb/sec
Leakage current = 3.206 uA
Temperatures OK
System checks - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba4 : 68
aida02 fault 0x88d3 : 0x8915 : 66
aida03 fault 0x23aa : 0x23ee : 68
aida04 fault 0x15d7 : 0x161b : 68
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure 5.4E-10 mbar |
Attachment 1: 2022-03-02_20-37-20-rateIN.png
|
|
Attachment 2: 2022-03-02_20-36-42-rateOUT.png
|
|
Attachment 3: 2022-03-02_20-38-16-XYtotal.png
|
|
Attachment 4: 2022-03-02_20-39-02-Extotal.png
|
|
Attachment 5: 2022-03-02_20-42-28-ADCitems.png
|
|
Attachment 6: 2022-03-02_20-42-02-goodEvents.png
|
|
Attachment 7: 2022-03-02_20-41-36-correlation8.png
|
|
Attachment 8: 2022-03-02_20-48-27-noise.png
|
|
Attachment 9: 2022-03-02_21-24-40-ExTotalZoom.png
|
|
Attachment 10: 2022-03-02_21-24-07-EYTotalZoom.png
|
|
365
|
Wed Mar 2 17:21:35 2022 |
JM, PM | Current status |
18:21
Temperature setpoint = 113k
Target density OK S1 varies between 2E-8 and 2.5E-8 mbar, E4 between 1E-9 and 5E-9 mbar. (slightly lower than previous check)
Detectors moving well between 23.1mm and 67.43 mm, Move in and move out counters the same. Correlation scalar is on aida1
Rutherford peak varies between 10^3 and 10^2 depending on detector position. No halo peak in rate. Noise peak varies from ~100 peak height when fully in to ~400 peak height when fully out.
Beam intensity ~ 1E8
Merger OK
Data rate ~ 1800 kb/sec
Leakage current ~3.21 uA
Temperature OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba3 : 67
aida02 fault 0x88d3 : 0x8914 : 65
aida03 fault 0x23aa : 0x23ed : 67
aida04 fault 0x15d7 : 0x161a : 67
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.4 E-10 mbar
19:20
Temperature setpoint = 113k
Target density OK S1 varies between 2E-8 and 3E-8 mbar, E4 between 1E-9 and 1E-8 mbar. (slightly higher than previous check)
Detectors moving well between 23.1mm and 67.43 mm, Move in and move out counters the same. Correlation scalar is on aida1
Rutherford peak varies between 10^3 and 10^2 depending on detector position. No halo peak in rate.
Beam intensity ~ 1E8
Merger OK
Data rate ~ 1800 kb/sec
Leakage current ~3.20 uA
Temperature OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba4 : 68
aida02 fault 0x88d3 : 0x8915 : 66
aida03 fault 0x23aa : 0x23ee : 68
aida04 fault 0x15d7 : 0x161b : 68
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.3 E-10 mbar
Statistics similar to previous check. |
Attachment 1: 2022-03-02_18-28-37-Exrate.png
|
|
Attachment 2: 2022-03-02_18-29-38-Xytotal.png
|
|
Attachment 3: 2022-03-02_18-30-33-ExTotal.png
|
|
Attachment 4: 2022-03-02_18-32-51-correlation8.png
|
|
Attachment 5: 2022-03-02_18-31-50-GoodEvents.png
|
|
Attachment 6: 2022-03-02_18-31-24-ADCitems.png
|
|
Attachment 7: 2022-03-02_19-48-56-rateIN.png
|
|
Attachment 8: 2022-03-02_19-50-51-rateOUT.png
|
|
364
|
Wed Mar 2 14:15:16 2022 |
JM, PM | Current status |
15:15
Temperature setpoint = 113 K
Target density is OK for now. S1 vaies between 2E-8 and 3.5E-8 mbar. E4 between 1E-9 and 1E-8 mbar.
Detector motors functioning well. Moving between 23.1 mm and 67.43mm. Move in and move out counters the same. Correlation scalar 8 for detectors moving is seen on aida1
Rutherford rate peak varies between 10^3 peak height when fully in and ~10^2 when fully out. No peak from halo seen in rate.
Beam intensity ~ 1E8
Merger OK
Data rate ~ 1700 kb/sec
Run is Run 87 - started 10:34
Data spy restarted at 15:00 so only include Run87 data.
Leakage current = 3.205 uA
Fee Temperatures OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xaba3 : 67
aida02 fault 0x88d3 : 0x8914 : 65
aida03 fault 0x23aa : 0x23ed : 67
aida04 fault 0x15d7 : 0x161a : 67
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring Pressure = 5.5E-10 mbar
16:45
Temperature setpoint = 113 K
Target density is OK for now. S1 vaies between 2E-8 and 3E-8 mbar. E4 between 1E-9 and 1E-8 mbar.
Detector motors functioning well. Moving between 23.1 mm and 67.43mm. Move in and move out counters the same. Correlation scalar 8 for detectors moving is seen on aida1
Rutherford rate peak varies between 10^3 peak height when fully in and ~10^2 when fully out. No peak from halo seen in rate.
Beam intensity ~ 1E8
Merger OK
Data rate ~ 1300 kb/sec
Temperatures OK
Leakage current ~3.205 uA
System chacks OK - wr fail
Base Current Difference
aida01 fault 0xab60 : 0xaba3 : 67
aida02 fault 0x88d3 : 0x8914 : 65
aida03 fault 0x23aa : 0x23ed : 67
aida04 fault 0x15d7 : 0x161a : 67
aida05 fault 0x2f03 : 0x2f20 : 29
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure ~ 4.4 E-10 mbar
Statistics similar to previous check.
|
Attachment 1: 2022-03-02_15-23-02-ExRate.png
|
|
Attachment 2: 2022-03-02_15-24-14-XYtotal.png
|
|
Attachment 3: 2022-03-02_15-28-04-correlation8.png
|
|
Attachment 4: 2022-03-02_15-27-13-ADCitems.png
|
|
Attachment 5: 2022-03-02_15-26-34-GoodEvents.png
|
|
Attachment 6: 2022-03-02_16-51-19-aida1-4-stats.png
|
|
363
|
Wed Mar 2 09:38:50 2022 |
Spillmann, Uwe | ion source restarted |
detector position
out: 23.1mm
in: 67.4mm
tape: next file
gas jet S1: 2.0-2.2 ** -8
|
362
|
Wed Mar 2 06:00:49 2022 |
Spillmann, Uwe | waiting |
07:00
Target density is hovering between 2.0e-8 and 2.3e-8 |
361
|
Wed Mar 2 03:40:40 2022 |
David, George | Temperature Cycle |
04:40
Target density is hovering between 2.0e-8 and 2.3e-8, sometimes dipping below 2.0e-8
We will conduct a temperature walkthrough while there is no beam,
04:40: setpoint 125K
04:46 setpoint 95K
04:56 setpoint 108K
04:59 setpoint 110K
05:00 setpoint 112K (here improved from 110K)
05:03 setpoint 114K
05:08 setpoint 113K
05:11
113K seems good, fluctuating between 2.3e-8 and 3.1e-8
will leave temperature here |
360
|
Wed Mar 2 01:24:48 2022 |
David, George | Ion Source Update |
02:25
Zoran was unable to ignite the ion source.
He has given some parameters to the control room so they can wiggle stuff around and try and ignite it.
He also said it may at some point ignite itself.
In worse case scenario, the person who usually manages the ion source will come in from 7am-8am, read Zoran's notes and try and get it working again.
Also target density seems a little worse but still hanging above 2e-8 to 2e-4 |
359
|
Tue Mar 1 23:43:15 2022 |
David, George | Beam Intensity Drop |
00:38
Intensity in the ring dropped by 2 orders of magnitude to 5e5 on injection
Lost rutherford rate (as seen in last e-log post)
All pressures seem fine
00:48
Called main control room, said they will take a look
00:59
Servo motor control restarted and homed
01:11
Ion source is confirmed to be off
Zoran will try and fix it, should take 1hr or so and not guaranteed to work.
|
358
|
Tue Mar 1 23:30:04 2022 |
David, George | Current status |
00:30
Pressure in S1 slightly decreased from before but still high enough to measure data.
One new count at 20MeV
Target density at S1: 2e-8 - 2.7e-8
Pressures OK (one small spike just before 00:00 in YR08)
System Checks OK
White rabbit fail diff: 49
Data write speed: ~1.4Mb/s
Beam intensity at injection: ~7.7e7
Cryo Temp Setpoint: 110K
(Beam intensity in ring dropped as I was writing which is why there is no rutherford peak on exRate image)
|
Attachment 1: 2022-03-02_00-39-47xytotal.png
|
|
Attachment 2: 2022-03-02_00-39-20exRate.png
|
|
Attachment 3: 2022-03-02_00-38-44extotal.png
|
|
357
|
Tue Mar 1 22:10:26 2022 |
David, George | Current status |
Ring current 6.67e7 particles/s
pressure at S1: 2.3-2.8e-8
Merger, Tape server (WS3): 1574 kB/s, writing ok
Leakage current (WS4): 3.20 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-03-01_23-14-43aida.png
|
|
Attachment 2: 2022-03-01_23-13-57exrate.png
|
|
Attachment 3: 2022-03-01_23-13-40xyto.png
|
|
Attachment 4: 2022-03-01_23-13-10extot.png
|
|
356
|
Tue Mar 1 21:34:06 2022 |
PM, LV | check |
no change:
Check Clock Status': Passed 5, Failed 0
Check ADC Calibration: Passed 5, Failed 0
'Check the White rabbit decoder status: Passed 0, Failed 5
target density at S1: 2.2-2.7e-8
temp: 110K
beam at injection: 7e7 |
Attachment 1: Screenshot_from_2022-03-01_22-33-08.png
|
|
Attachment 2: Screenshot_from_2022-03-01_22-32-53.png
|
|
Attachment 3: Screenshot_from_2022-03-01_22-32-40.png
|
|
Attachment 4: Screenshot_from_2022-03-01_22-32-30.png
|
|
Attachment 5: Screenshot_from_2022-03-01_22-32-18.png
|
|
Attachment 6: Screenshot_from_2022-03-01_22-32-04.png
|
|
Attachment 7: Screenshot_from_2022-03-01_22-31-54.png
|
|
Attachment 8: Screenshot_from_2022-03-01_22-31-34.png
|
|
Attachment 9: Screenshot_from_2022-03-01_22-31-20.png
|
|
Attachment 10: Screenshot_from_2022-03-01_22-31-10.png
|
|
Attachment 11: Screenshot_from_2022-03-01_22-30-58.png
|
|
Attachment 12: Screenshot_from_2022-03-01_22-30-46.png
|
|
Attachment 13: Screenshot_from_2022-03-01_22-30-31.png
|
|
Attachment 14: Screenshot_from_2022-03-01_22-30-09.png
|
|
355
|
Tue Mar 1 20:41:28 2022 |
PM, LV | check |
no change:
Check Clock Status': Passed 5, Failed 0
Check ADC Calibration: Passed 5, Failed 0
'Check the White rabbit decoder status: Passed 0, Failed 5
target density at S1: 2.3-2.7e-8
temp: 110K
beam at injection: 7e7 |
Attachment 1: Screenshot_from_2022-03-01_21-41-02.png
|
|
Attachment 2: Screenshot_from_2022-03-01_21-40-45.png
|
|
Attachment 3: Screenshot_from_2022-03-01_21-40-33.png
|
|
Attachment 4: Screenshot_from_2022-03-01_21-40-19.png
|
|
Attachment 5: Screenshot_from_2022-03-01_21-40-03.png
|
|
Attachment 6: Screenshot_from_2022-03-01_21-39-35.png
|
|
Attachment 7: Screenshot_from_2022-03-01_21-39-20.png
|
|
Attachment 8: Screenshot_from_2022-03-01_21-39-00.png
|
|
Attachment 9: Screenshot_from_2022-03-01_21-38-50.png
|
|
Attachment 10: Screenshot_from_2022-03-01_21-38-31.png
|
|
Attachment 11: Screenshot_from_2022-03-01_21-38-06.png
|
|
Attachment 12: Screenshot_from_2022-03-01_21-37-50.png
|
|
Attachment 13: Screenshot_from_2022-03-01_21-37-40.png
|
|
Attachment 14: Screenshot_from_2022-03-01_21-37-27.png
|
|
354
|
Tue Mar 1 19:41:24 2022 |
PM, LV | target temperature walkthrough |
A target temperature walkthrough has been initiated at 20:41 since the target density at S1 had slightly decreased (with ~0.1-0.2)
Current temprature 116K
set to 126K at 20:41
set to 95K at 20:47:30
set to 108.5K at 21:01:30, at this temperature was a sweetspot observerd for target density.
set to 109.7 at 21:08:30
set to 110K at 21:13:30 - we keep at this value for a while. the values at S1 are changing between 2.2-2.9e-8 |
353
|
Tue Mar 1 19:40:28 2022 |
PM, LV | check |
no change:
Check Clock Status': Passed 5, Failed 0
Check ADC Calibration: Passed 5, Failed 0
'Check the White rabbit decoder status: Passed 0, Failed 5
target density at S1: 2.1-2.3e-8
temp: 116K
beam at injection: 7e7 |
Attachment 1: Screenshot_from_2022-03-01_20-39-20.png
|
|
Attachment 2: Screenshot_from_2022-03-01_20-39-06.png
|
|
Attachment 3: Screenshot_from_2022-03-01_20-38-50.png
|
|
Attachment 4: Screenshot_from_2022-03-01_20-38-35.png
|
|
Attachment 5: Screenshot_from_2022-03-01_20-38-22.png
|
|
Attachment 6: Screenshot_from_2022-03-01_20-37-52.png
|
|
Attachment 7: Screenshot_from_2022-03-01_20-37-42.png
|
|
Attachment 8: Screenshot_from_2022-03-01_20-37-30.png
|
|
Attachment 9: Screenshot_from_2022-03-01_20-37-16.png
|
|
Attachment 10: Screenshot_from_2022-03-01_20-37-00.png
|
|
Attachment 11: Screenshot_from_2022-03-01_20-36-41.png
|
|
Attachment 12: Screenshot_from_2022-03-01_20-36-28.png
|
|
352
|
Tue Mar 1 18:43:41 2022 |
PM, LV | check |
no change:
Check Clock Status': Passed 5, Failed 0
Check ADC Calibration: Passed 5, Failed 0
'Check the White rabbit decoder status: Passed 0, Failed 5
target density at S1: 2.1-2.4e-8
temp: 116K |
Attachment 1: Screenshot_from_2022-03-01_19-42-59.png
|
|
Attachment 2: Screenshot_from_2022-03-01_19-42-45.png
|
|
Attachment 3: Screenshot_from_2022-03-01_19-41-54.png
|
|
Attachment 4: Screenshot_from_2022-03-01_19-41-39.png
|
|
Attachment 5: Screenshot_from_2022-03-01_19-41-25.png
|
|
Attachment 6: Screenshot_from_2022-03-01_19-41-12.png
|
|
Attachment 7: Screenshot_from_2022-03-01_19-41-00.png
|
|
Attachment 8: Screenshot_from_2022-03-01_19-40-29.png
|
|
Attachment 9: Screenshot_from_2022-03-01_19-40-19.png
|
|
Attachment 10: Screenshot_from_2022-03-01_19-40-00.png
|
|
Attachment 11: Screenshot_from_2022-03-01_19-39-40.png
|
|
Attachment 12: Screenshot_from_2022-03-01_19-39-26.png
|
|
Attachment 13: Screenshot_from_2022-03-01_19-39-08.png
|
|
Attachment 14: Screenshot_from_2022-03-01_19-38-49.png
|
|
351
|
Tue Mar 1 17:36:04 2022 |
PM, LV | check |
no change:
Check Clock Status': Passed 5, Failed 0
Check ADC Calibration: Passed 5, Failed 0
'Check the White rabbit decoder status: Passed 0, Failed 5
target density at S1: 2.1-2.4e-8
temp: 116K |
Attachment 1: Screenshot_from_2022-03-01_18-43-15.png
|
|
Attachment 2: Screenshot_from_2022-03-01_18-42-59.png
|
|
Attachment 3: Screenshot_from_2022-03-01_18-42-21.png
|
|
Attachment 4: Screenshot_from_2022-03-01_18-41-49.png
|
|
Attachment 5: Screenshot_from_2022-03-01_18-41-30.png
|
|
Attachment 6: Screenshot_from_2022-03-01_18-41-04.png
|
|
Attachment 7: Screenshot_from_2022-03-01_18-40-39.png
|
|
Attachment 8: Screenshot_from_2022-03-01_18-40-07.png
|
|
Attachment 9: Screenshot_from_2022-03-01_18-39-34.png
|
|
Attachment 10: Screenshot_from_2022-03-01_18-39-13.png
|
|
Attachment 11: Screenshot_from_2022-03-01_18-38-57.png
|
|
Attachment 12: Screenshot_from_2022-03-01_18-38-41.png
|
|
Attachment 13: Screenshot_from_2022-03-01_18-35-09.png
|
|
Attachment 14: Screenshot_from_2022-03-01_18-34-35.png
|
|
350
|
Tue Mar 1 16:57:34 2022 |
CB, LV, PMH | New run |
Beam issues due to too long storage time in the last hours. Motors moved out and not moving.
Decreased storage time to 70 s. Seems OK now. Restarted motor movement as before
Started new run R86 |
349
|
Tue Mar 1 14:01:01 2022 |
MS, SHB | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' : no change |
Attachment 1: Screenshot_from_2022-03-01_15-00-30.png
|
|
Attachment 2: Screenshot_from_2022-03-01_15-00-17.png
|
|
Attachment 3: Screenshot_from_2022-03-01_14-58-01.png
|
|
Attachment 4: Screenshot_from_2022-03-01_14-57-50.png
|
|
Attachment 5: Screenshot_from_2022-03-01_14-57-22.png
|
|
Attachment 6: Screenshot_from_2022-03-01_14-56-49.png
|
|
Attachment 7: Screenshot_from_2022-03-01_14-56-35.png
|
|
Attachment 8: Screenshot_from_2022-03-01_14-56-24.png
|
|
Attachment 9: Screenshot_from_2022-03-01_14-56-10.png
|
|
Attachment 10: Screenshot_from_2022-03-01_14-55-49.png
|
|
Attachment 11: Screenshot_from_2022-03-01_14-55-40.png
|
|
348
|
Tue Mar 1 12:58:32 2022 |
CB, MS, SS, ML | Synced pneumatic motion |
New run R84
Attempting to sync pneumatic movement with ring. Still see something on t out -> p out
Command to move out was being given relative to the wrong time.
Fixed it. Still see one-two events every time we move out around 4-6 MeV. Attempted to greatly increase time before next injection - no difference. Microphonics from moving the detectors? It's just one-two counts on entire detector, acceptable.
New run R85
Now moving in/out
Potentiometer: 23.10 mm to 67.19 mm |
347
|
Tue Mar 1 12:33:21 2022 |
MS, SHB | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status': no change |
Attachment 1: Screenshot_from_2022-03-01_13-40-22.png
|
|
Attachment 2: Screenshot_from_2022-03-01_13-40-07.png
|
|
Attachment 3: Screenshot_from_2022-03-01_13-39-50.png
|
|
Attachment 4: Screenshot_from_2022-03-01_13-39-33.png
|
|
Attachment 5: Screenshot_from_2022-03-01_13-39-03.png
|
|
Attachment 6: Screenshot_from_2022-03-01_13-38-05.png
|
|
Attachment 7: Screenshot_from_2022-03-01_13-37-47.png
|
|
Attachment 8: Screenshot_from_2022-03-01_13-37-27.png
|
|
Attachment 9: Screenshot_from_2022-03-01_13-36-33.png
|
|
Attachment 10: Screenshot_from_2022-03-01_13-35-11.png
|
|
Attachment 11: Screenshot_from_2022-03-01_13-34-15.png
|
|
346
|
Tue Mar 1 10:43:36 2022 |
MS, SHB | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status': same
|
Attachment 1: Screenshot_from_2022-03-01_11-57-09.png
|
|
Attachment 2: Screenshot_from_2022-03-01_11-56-49.png
|
|
Attachment 3: Screenshot_from_2022-03-01_11-56-36.png
|
|
Attachment 4: Screenshot_from_2022-03-01_11-56-23.png
|
|
Attachment 5: Screenshot_from_2022-03-01_11-54-23.png
|
|
Attachment 6: Screenshot_from_2022-03-01_11-53-51.png
|
|
Attachment 7: Screenshot_from_2022-03-01_11-53-18.png
|
|
Attachment 8: Screenshot_from_2022-03-01_11-49-32.png
|
|
Attachment 9: Screenshot_from_2022-03-01_11-49-10.png
|
|
Attachment 10: Screenshot_from_2022-03-01_11-48-13.png
|
|
Attachment 11: Screenshot_from_2022-03-01_11-47-31.png
|
|
345
|
Tue Mar 1 10:35:59 2022 |
MS, SHB | next run on tape server tab |
old potentiometer position 19.1 mm
new run number: 83
new potentiometer position : 27.58 mm
|
344
|
Tue Mar 1 08:02:26 2022 |
SHB, MS | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' - no changes |
Attachment 1: Screenshot_from_2022-03-01_09-08-41.png
|
|
Attachment 2: Screenshot_from_2022-03-01_09-08-28.png
|
|
Attachment 3: Screenshot_from_2022-03-01_09-08-13.png
|
|
Attachment 4: Screenshot_from_2022-03-01_09-07-55.png
|
|
Attachment 5: Screenshot_from_2022-03-01_09-07-25.png
|
|
Attachment 6: Screenshot_from_2022-03-01_09-06-12.png
|
|
Attachment 7: Screenshot_from_2022-03-01_09-05-55.png
|
|
Attachment 8: Screenshot_from_2022-03-01_09-05-42.png
|
|
Attachment 9: Screenshot_from_2022-03-01_09-04-37.png
|
|
Attachment 10: Screenshot_from_2022-03-01_09-04-04.png
|
|
Attachment 11: Screenshot_from_2022-03-01_09-03-39.png
|
|
343
|
Tue Mar 1 06:35:42 2022 |
SHB, MS | check |
detector motors are still out
'Check Clock Status', 'Check ADC Calibration' : Clock status test result: Passed 5, Failed 0
'Check the White rabbit decoder status' :
Base Current Difference
aida01 fault 0xab60 : 0xab8f : 47
aida02 fault 0x88d3 : 0x8901 : 46
aida03 fault 0x23aa : 0x23d9 : 47
aida04 fault 0x15d7 : 0x1606 : 47
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR |
Attachment 1: Screenshot_from_2022-03-01_07-55-08.png
|
|
Attachment 2: Screenshot_from_2022-03-01_07-54-46.png
|
|
Attachment 3: Screenshot_from_2022-03-01_07-53-32.png
|
|
Attachment 4: Screenshot_from_2022-03-01_07-53-13.png
|
|
Attachment 5: Screenshot_from_2022-03-01_07-51-22.png
|
|
Attachment 6: Screenshot_from_2022-03-01_07-47-38.png
|
|
Attachment 7: Screenshot_from_2022-03-01_07-45-11.png
|
|
Attachment 8: Screenshot_from_2022-03-01_07-42-33.png
|
|
Attachment 9: Screenshot_from_2022-03-01_07-41-35.png
|
|
Attachment 10: Screenshot_from_2022-03-01_07-40-48.png
|
|
Attachment 11: Screenshot_from_2022-03-01_07-39-56.png
|
|
342
|
Tue Mar 1 06:04:04 2022 |
SHB, MS | positions |
just FYI: current positions saved in files are x=1000 and y=-750. this information is good in case of crash.
|
341
|
Tue Mar 1 05:23:02 2022 |
JM, EM | Current status |
06:20
Servo motors moved to fully out position as some work on the beam was neccessary. Beam injection had dropped from ~9e7 to around 1e7. Potentiometer reads 19.10 mm.
Still trying to improve target density. Current setpoint 100 K.
Merger OK
Data rate = 1600 kb/sec
Leakage current = 3.21 uA
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xab8e : 46
aida02 fault 0x88d3 : 0x8900 : 45
aida03 fault 0x23aa : 0x23d8 : 46
aida04 fault 0x15d7 : 0x1605 : 46
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.3E-10 mbar.
06:40
Target density still very low. Setpoint back to 116 K. Detectors still fully out, very low rutherford rate.
Attempted to move nozzle to increase density, already on maximum point.
06:50
Setpoint to 95 k. Small increase in target density, however this was temporary
|
Attachment 1: 2022-03-01_06-42-53-ExRate.png
|
|
340
|
Tue Mar 1 04:07:31 2022 |
JM, EM | Temperature cycle |
Have been unable to achieve decent target density with temperature cycling so far. Will attempt to go up to 300 k and back down to around 115 k which proved successful in previous shifts. |
339
|
Tue Mar 1 03:34:28 2022 |
JM, EM | Current status |
Temperature setpoint = 116 k
Detector IN potentiometer = 34.89 mm
Rutherford rate is reduced from previous entries. Avergae peak height appears to be ~50 counts. Fluctuations in peak height and E4 pressure are quite high. Temperature has been cycled, nozzle position has been optimised and different temperatures have been trialled however density cannot be maintained at a decent level.
Merger OK
Data rate ~ 1500 kb/sec
Leakage current = 3.2295 uA
Temperatures OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xab8c : 44
aida02 fault 0x88d3 : 0x88fe : 43
aida03 fault 0x23aa : 0x23d6 : 44
aida04 fault 0x15d7 : 0x1603 : 44
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.4 E-10 mbar
|
Attachment 1: 2022-03-01_04-37-03-Ex-rate.png
|
|
Attachment 2: 2022-03-01_04-41-46-correlation8.png
|
|
Attachment 3: 2022-03-01_04-41-15-goodEvents.png
|
|
Attachment 4: 2022-03-01_04-40-49-ADCitems.png
|
|
Attachment 5: 2022-03-01_04-43-53-XYtotal.png
|
|
Attachment 6: 2022-03-01_04-44-33-EyTotal.png
|
|
Attachment 7: 2022-03-01_04-45-07-ExTotal.png
|
|
338
|
Tue Mar 1 03:19:50 2022 |
JM, EM | Temperature Cycle |
04:20
Did another temperature cycle (118 K -> 125 K -> 95 K -> 116 K) trying to improve the pressures at the target and the detector count rate.
Rates are still mostly below 100 counts (Ex rate) and the pressures are still fluctuating by about an order of magnitude (E4: between 1e-9 and 1e-8 mbar). |
337
|
Tue Mar 1 02:15:29 2022 |
JM, EM | Current status |
03:15
The nozzle motor control at the target crashed, but operation has been restored.
Temperature setpoint = 116 K
Detector position IN potentionmeter = 34.89mm
Rutherford rate fluctuates, around 100 counts peak height
Merger OK
Data rate = 1356 kb/sec
Leakage current = 3.234 uA
Temperatures OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xab8c : 44
aida02 fault 0x88d3 : 0x88fe : 43
aida03 fault 0x23aa : 0x23d6 : 44
aida04 fault 0x15d7 : 0x1603 : 44
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.4 E-10 mbar |
Attachment 1: 2022-03-01_03-21-28_Exrate.png
|
|
Attachment 2: 2022-03-01_03-22-30_ExTotal.png
|
|
Attachment 3: 2022-03-01_03-23-06_EyTotal.png
|
|
Attachment 4: 2022-03-01_03-25-54_GoodEvents.png
|
|
Attachment 5: 2022-03-01_03-26-48_Correlations.png
|
|
Attachment 6: 2022-03-01_03-27-23_ADCdataitems.png
|
|
336
|
Mon Feb 28 23:54:02 2022 |
JM, EM | Current Status, Temperature cycle |
00:51
Temperature setpoint = 116 K
Detector position IN potentionmeter = 34.89mm
Rutherford rate fluctuates, consistently above 100 counts peak height
Merger OK
Data rate = 1600 kb/sec
Leakage current = 3.235 uA
Temperatures OK
System checks OK - wr fails
Base Current Difference
aida01 fault 0xab60 : 0xab8c : 44
aida02 fault 0x88d3 : 0x88fe : 43
aida03 fault 0x23aa : 0x23d6 : 44
aida04 fault 0x15d7 : 0x1603 : 44
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
Avg ring pressure = 4.4 E-10 mbar
01:18
Rutherford rate showed sustained drop to below 10 counts peak level. E4 was also consistently down, fluctuating in the low -9 mbar range compared to -8 mbar range previously.
Temperature increased to 125 K
01:39
Completed increase to 125k, drop to 95k and back to 116k. Rate has improved somewhat, however is still lower than before. Will monitor and see if any further change in temperature helps.
01:48
E4 pressures and rutherford rate remain low ~40 counts peak height. Decreasing temperature in 1k steps to see if any improvement.
02:05
Rates still low at decreased temperature. Setting to 140 K for 15-20 mins and then bringing back down.
02:36
Temperature brought back down, optimum temperature appears to be 118 K. E4 ~ 3E-9 mbar and rutherford rate is back around 100 counts peak heught. |
Attachment 1: 2022-03-01_00-57-44-Exrate.png
|
|
Attachment 2: 2022-03-01_00-58-41-ExTotal.png
|
|
Attachment 3: 2022-03-01_00-58-14-EyTotal.png
|
|
335
|
Mon Feb 28 22:34:51 2022 |
JM, EM | Current status |
23:35
Temperature setpoint = 116 K
Detector position IN, potentiometer reads 34.89 mm
Rutherford rate fluctuates however is consistently aboe 100 counts peak height
Merger OK
Data rate = 1600 kb/sec
Leakage current = 3.23 uA
Temperatures OK
System checks OK - wr attached
Base Current Difference
aida01 fault 0xab60 : 0xab8c : 44
aida02 fault 0x88d3 : 0x88fe : 43
aida03 fault 0x23aa : 0x23d6 : 44
aida04 fault 0x15d7 : 0x1603 : 44
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
|
Attachment 1: 2022-02-28_23-40-14-xyTotal.png
|
|
Attachment 2: 2022-02-28_23-39-56-Exrate.png
|
|
Attachment 3: 2022-02-28_23-39-26-goodEvents.png
|
|
Attachment 4: 2022-02-28_23-38-58-correlation8.png
|
|
Attachment 5: 2022-02-28_23-38-31-ADCitems.png
|
|
334
|
Mon Feb 28 14:47:52 2022 |
CB, TD | Monday 28 February 15:00-23:00 |
15.51 1.8.W spectra 20ms, 2ms, 200us, 20us FSR - attachments 1-8
15.55 Lost control of motors - moving DSSSDs out
15.56 new run number 79
ignore run number 80
17:58 new run number 81
CB sets motion cycle from 20.28mm to 64.36mm
Observe a second, higher energy peak during the part of the cycle where the DSSSD is moved out - see attachments 9-10
Peak appears only when moving out. Does not appear when moving in/out manually on beam storage plateau. Does not appear when moving in synched with ring, waiting less than the storage time, and moving out.
All seems to indicate it is due to beam injection and not moving out fast enough. Very weak halo piling up? Should be clear in the data depending on rate / strips affected.
18.45 new run number 82
DSSSD position fixed 34.89mm
22.10 system wide checks OK *except* WR decoder status
Base Current Difference
aida01 fault 0xab60 : 0xab8c : 44
aida02 fault 0x88d3 : 0x88fe : 43
aida03 fault 0x23aa : 0x23d6 : 44
aida04 fault 0x15d7 : 0x1603 : 44
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
FEE64 temperatures OK - attachment 11
ADC data item stats OK - attachment 12
merger, tape server and console OK - no merger error messages since startup - attachments 13-15
DSSSD bias -100V leakage current-3.2360uA
run82 - integrated x & y strip energy spectra - attachments 16-17 |
Attachment 1: Screenshot_from_2022-02-28_15-51-42.png
|
|
Attachment 2: Screenshot_from_2022-02-28_15-51-27.png
|
|
Attachment 3: Screenshot_from_2022-02-28_15-50-56.png
|
|
Attachment 4: Screenshot_from_2022-02-28_15-50-44.png
|
|
Attachment 5: Screenshot_from_2022-02-28_15-50-26.png
|
|
Attachment 6: Screenshot_from_2022-02-28_15-50-08.png
|
|
Attachment 7: Screenshot_from_2022-02-28_15-49-44.png
|
|
Attachment 8: Screenshot_from_2022-02-28_15-49-28.png
|
|
Attachment 9: Screenshot_from_2022-02-28_18-03-13.png
|
|
Attachment 10: Screenshot_from_2022-02-28_18-03-20.png
|
|
Attachment 11: Screenshot_from_2022-02-28_22-13-42.png
|
|
Attachment 12: Screenshot_from_2022-02-28_22-14-12.png
|
|
Attachment 13: Screenshot_from_2022-02-28_22-15-31.png
|
|
Attachment 14: Screenshot_from_2022-02-28_22-15-22.png
|
|
Attachment 15: Screenshot_from_2022-02-28_22-15-16.png
|
|
Attachment 16: Screenshot_from_2022-02-28_22-18-23.png
|
|
Attachment 17: Screenshot_from_2022-02-28_22-18-01.png
|
|
333
|
Mon Feb 28 14:42:48 2022 |
CB, TD | Cryostat set to 115K |
Cryostat set to 115K |
332
|
Mon Feb 28 14:38:15 2022 |
TD | NewMerger statistics |
Screenshots of all NewMerger statistics |
Attachment 1: Screenshot_from_2022-02-28_15-38-04.png
|
|
Attachment 2: Screenshot_from_2022-02-28_15-37-57.png
|
|
Attachment 3: Screenshot_from_2022-02-28_15-37-52.png
|
|
Attachment 4: Screenshot_from_2022-02-28_15-37-44.png
|
|
Attachment 5: Screenshot_from_2022-02-28_15-37-32.png
|
|
Attachment 6: Screenshot_from_2022-02-28_15-37-24.png
|
|
Attachment 7: Screenshot_from_2022-02-28_15-37-10.png
|
|
Attachment 8: Screenshot_from_2022-02-28_15-37-03.png
|
|
Attachment 9: Screenshot_from_2022-02-28_15-36-55.png
|
|
Attachment 10: Screenshot_from_2022-02-28_15-36-48.png
|
|
Attachment 11: Screenshot_from_2022-02-28_15-36-39.png
|
|
Attachment 12: Screenshot_from_2022-02-28_15-36-31.png
|
|
Attachment 13: Screenshot_from_2022-02-28_15-36-23.png
|
|
Attachment 14: Screenshot_from_2022-02-28_15-36-16.png
|
|
Attachment 15: Screenshot_from_2022-02-28_15-36-07.png
|
|
Attachment 16: Screenshot_from_2022-02-28_15-35-59.png
|
|
Attachment 17: Screenshot_from_2022-02-28_15-35-51.png
|
|
Attachment 18: Screenshot_from_2022-02-28_15-35-42.png
|
|
Attachment 19: Screenshot_from_2022-02-28_15-35-36.png
|
|
Attachment 20: Screenshot_from_2022-02-28_15-35-26.png
|
|
Attachment 21: Screenshot_from_2022-02-28_15-35-14.png
|
|
Attachment 22: Screenshot_from_2022-02-28_15-35-09.png
|
|
Attachment 23: Screenshot_from_2022-02-28_15-34-52.png
|
|
Attachment 24: Screenshot_from_2022-02-28_15-34-47.png
|
|
Attachment 25: Screenshot_from_2022-02-28_15-34-42.png
|
|
Attachment 26: Screenshot_from_2022-02-28_15-34-35.png
|
|
Attachment 27: Screenshot_from_2022-02-28_15-34-28.png
|
|
Attachment 28: Screenshot_from_2022-02-28_15-34-20.png
|
|
Attachment 29: Screenshot_from_2022-02-28_15-34-12.png
|
|
Attachment 30: Screenshot_from_2022-02-28_15-34-03.png
|
|
Attachment 31: Screenshot_from_2022-02-28_15-33-50.png
|
|
Attachment 32: Screenshot_from_2022-02-28_15-33-45.png
|
|
Attachment 33: Screenshot_from_2022-02-28_15-33-39.png
|
|
Attachment 34: Screenshot_from_2022-02-28_15-33-31.png
|
|
Attachment 35: Screenshot_from_2022-02-28_15-33-24.png
|
|
Attachment 36: Screenshot_from_2022-02-28_15-33-15.png
|
|
Attachment 37: Screenshot_from_2022-02-28_15-33-07.png
|
|
331
|
Mon Feb 28 13:56:02 2022 |
JG, CB | Temperature cycle to 125K |
14:45
Rutherford rate was decreased significantly for longer period.
Temperature increased to 125 K
No large increase in chamber pressure observed.
14:55
Temperature setpoint back to 110 K.
Rutherford rate has increased. Peak height 3*10^1. |
330
|
Mon Feb 28 13:16:39 2022 |
JG, AK, CB | Current status |
14:16
beam intensity at injection: 7e7 ions
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 1x10^2
Merger OK
Data rate ~ 1500 kb/sec
Ring avg pressure ~ 2.3E-10 mbar
Temperatures OK
System checks OK (wr fail)
Leakage current ~ 3.23 uA |
Attachment 1: Screenshot_from_2022-02-28_14-16-00.png
|
|
Attachment 2: Screenshot_from_2022-02-28_14-15-43.png
|
|
Attachment 3: Screenshot_from_2022-02-28_14-15-30.png
|
|
Attachment 4: Screenshot_from_2022-02-28_14-15-10.png
|
|
Attachment 5: Screenshot_from_2022-02-28_14-14-29.png
|
|
Attachment 6: Screenshot_from_2022-02-28_14-14-22.png
|
|
Attachment 7: Screenshot_from_2022-02-28_14-14-14.png
|
|
Attachment 8: Screenshot_from_2022-02-28_14-13-24.png
|
|
329
|
Mon Feb 28 11:57:22 2022 |
JG, AK, CB | Current status |
12:55
beam intensity at injection: 9e7 ions
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1400 kb/sec
Ring avg pressure ~ 2.8E-10 mbar
Temperatures OK
System checks OK (wr fail)
Leakage current ~ 3.22 uA |
Attachment 1: Screenshot_from_2022-02-28_12-56-50.png
|
|
Attachment 2: Screenshot_from_2022-02-28_12-56-40.png
|
|
Attachment 3: Screenshot_from_2022-02-28_12-56-29.png
|
|
Attachment 4: Screenshot_from_2022-02-28_12-56-16.png
|
|
Attachment 5: Screenshot_from_2022-02-28_12-55-36.png
|
|
Attachment 6: Screenshot_from_2022-02-28_12-55-08.png
|
|
Attachment 7: Screenshot_from_2022-02-28_12-54-54.png
|
|
Attachment 8: Screenshot_from_2022-02-28_12-54-33.png
|
|
328
|
Mon Feb 28 10:55:48 2022 |
JG, US | Current status |
11:55
beam intensity at injection: 8e7 ions
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1600 kb/sec
Ring avg pressure ~ 2.7E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.22 uA |
Attachment 1: Screenshot_from_2022-02-28_11-57-17.png
|
|
Attachment 2: Screenshot_from_2022-02-28_11-57-04.png
|
|
Attachment 3: Screenshot_from_2022-02-28_11-56-48.png
|
|
Attachment 4: Screenshot_from_2022-02-28_11-54-34.png
|
|
Attachment 5: Screenshot_from_2022-02-28_11-53-25.png
|
|
Attachment 6: Screenshot_from_2022-02-28_11-52-21.png
|
|
Attachment 7: Screenshot_from_2022-02-28_11-52-14.png
|
|
Attachment 8: Screenshot_from_2022-02-28_11-51-06.png
|
|
327
|
Mon Feb 28 09:31:48 2022 |
JG, AK, CB | Current status |
10:35
beam intensity at injection: 1e8 ions
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1200 kb/sec
Ring avg pressure ~ 2.7E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.22 uA |
Attachment 1: Screenshot_from_2022-02-28_10-31-27.png
|
|
Attachment 2: Screenshot_from_2022-02-28_10-31-16.png
|
|
Attachment 3: Screenshot_from_2022-02-28_10-31-06.png
|
|
Attachment 4: Screenshot_from_2022-02-28_10-30-49.png
|
|
Attachment 5: Screenshot_from_2022-02-28_10-30-30.png
|
|
Attachment 6: Screenshot_from_2022-02-28_10-30-24.png
|
|
Attachment 7: Screenshot_from_2022-02-28_10-30-00.png
|
|
Attachment 8: Screenshot_from_2022-02-28_10-29-26.png
|
|
326
|
Mon Feb 28 08:36:35 2022 |
JG, AK, CB | Current status |
09:35
beam intensity at injection: 8.2e7 ions
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1400 kb/sec
Ring avg pressure ~ 2.7E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.22 uA |
Attachment 1: Screenshot_from_2022-02-28_09-36-05.png
|
|
Attachment 2: Screenshot_from_2022-02-28_09-35-56.png
|
|
Attachment 3: Screenshot_from_2022-02-28_09-35-35.png
|
|
Attachment 4: Screenshot_from_2022-02-28_09-35-18.png
|
|
Attachment 5: Screenshot_from_2022-02-28_09-35-07.png
|
|
Attachment 6: Screenshot_from_2022-02-28_09-34-21.png
|
|
Attachment 7: Screenshot_from_2022-02-28_09-34-06.png
|
|
Attachment 8: Screenshot_from_2022-02-28_09-33-48.png
|
|
325
|
Mon Feb 28 07:35:51 2022 |
JG | current logger crashed |
The current logger did crash in the evening of 25.02. and stopped logging since then, it seems.
I restarted it now (28.02. 8:30).
Seems to run stable for now.
|
Attachment 1: Screenshot_from_2022-02-28_08-38-35.png
|
|
324
|
Mon Feb 28 07:31:25 2022 |
JG, AK | Current status |
08:30
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1500 kb/sec
Ring avg pressure ~ 2.7E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.22 uA |
Attachment 1: Screenshot_from_2022-02-28_08-30-34.png
|
|
Attachment 2: Screenshot_from_2022-02-28_08-30-13.png
|
|
Attachment 3: Screenshot_from_2022-02-28_08-29-59.png
|
|
Attachment 4: Screenshot_from_2022-02-28_08-29-44.png
|
|
Attachment 5: Screenshot_from_2022-02-28_08-29-28.png
|
|
Attachment 6: Screenshot_from_2022-02-28_08-29-17.png
|
|
Attachment 7: Screenshot_from_2022-02-28_08-29-10.png
|
|
Attachment 8: Screenshot_from_2022-02-28_08-29-04.png
|
|
323
|
Mon Feb 28 06:12:58 2022 |
JG, AK, US | Current status |
07:20
Temperature setpoint = 110 k
Rutherford rates shaky, peak max at ~ 3x10^2
Merger OK
Data rate ~ 1200 kb/sec
Ring avg pressure ~ 2.5E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.222 uA |
Attachment 1: Screenshot_from_2022-02-28_07-16-18.png
|
|
Attachment 2: Screenshot_from_2022-02-28_07-16-02.png
|
|
Attachment 3: Screenshot_from_2022-02-28_07-15-49.png
|
|
Attachment 4: Screenshot_from_2022-02-28_07-15-28.png
|
|
Attachment 5: Screenshot_from_2022-02-28_07-12-06.png
|
|
Attachment 6: Screenshot_from_2022-02-28_07-11-59.png
|
|
Attachment 7: Screenshot_from_2022-02-28_07-11-48.png
|
|
Attachment 8: Screenshot_from_2022-02-28_07-17-27.png
|
|
322
|
Mon Feb 28 05:04:47 2022 |
JM, OF | Temperature cycle to 125K |
06:01
Rutherford rate was decreased compared to previous values. Max rate ~ 50 counts for the peak, average ~20 counts.
Temperature increased to 125 K
No large increase in chamber pressure observed.
06:25
Temperature setpoint back to 110 K.
Rutherford rate has increased, however is quite variable. Peak height 3*10^1 to 10^2 range.
06:54
Setpoint = 110 K
Ruthrford rate has stabilised around 7*10^1 to 10^2 peak height.
Merger OK
Data rate ~ 1300 kb/sec
Leakage current ~ 3.22 uA
Temperatures OK
System checks OK |
Attachment 1: 2022-02-28_06-30-39-Exrate.png
|
|
321
|
Mon Feb 28 04:14:26 2022 |
JM, OF | Current status |
05:20
Temperature setpoint = 110 k
Rates are stable however are slightly lower than previous. Min peak height ~40 counts, max ~ 10^2.
Merger OK
Data rate ~ 1200 kb/sec
Ring avg pressure ~ 2.9E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.222 uA |
Attachment 1: 2022-02-28_05-20-11-correlation8.png
|
|
Attachment 2: 2022-02-28_05-19-44-ADCitems.png
|
|
Attachment 3: 2022-02-28_05-19-19-goodEvents.png
|
|
Attachment 4: 2022-02-28_05-18-55-wr.png
|
|
Attachment 5: 2022-02-28_05-18-13-Exrate.png
|
|
Attachment 6: 2022-02-28_05-21-31-ExTotal.png
|
|
Attachment 7: 2022-02-28_05-22-26-xyTotal.png
|
|
Attachment 8: 20220228_052350.jpg
|
|
Attachment 9: 20220228_052326.jpg
|
|
320
|
Mon Feb 28 03:16:37 2022 |
JM, OF | Current status |
04:24
Temperature setpoint 110 K
Rates appeared stable throughout the hour ~10^2 peak height. Last 5 minutes rutherford peak height appears reduced, cycling from 10^1 to 10^2. If this continues with sustained low density, temperature will be cycled to 125 K and back down.
Merger OK
Data rate = 1300 kb/sec
Ring avg pressure = 3.1E-10 mbar
Temperatures OK
System checks OK - wr fail attached
Leakage current ~ 3.228 uA
04:33
Rutherford rate appears to have increased and stabilised again around 10^2 peak height. |
Attachment 1: 2022-02-28_04-23-06-rates.png
|
|
Attachment 2: 2022-02-28_04-22-28-goodEvents.png
|
|
Attachment 3: 2022-02-28_04-22-05-correlation8.png
|
|
Attachment 4: 2022-02-28_04-21-39-ADCitems.png
|
|
Attachment 5: 2022-02-28_04-21-17-wr.png
|
|
Attachment 6: 20220228_042449.jpg
|
|
319
|
Mon Feb 28 02:16:00 2022 |
JM, OF | Current status |
03:21
Temperature setpoint 110 K
Rutherford rate stable. Min peak height ~ 10^2 counts, max ~ 3*10^2 counts.
Temperatures OK
System checks OK - wr attached
Merger OK
Data rate ~ 1500 kb/sec
Leakage current ~ 3.228 uA
Ring vacuum stable, Avg = 3.1E-10 mbar
E1 pump current = 2.5 A |
Attachment 1: 2022-02-28_03-11-52-Rates_1.png
|
|
Attachment 2: 2022-02-28_03-12-28-ExTotal.png
|
|
Attachment 3: 2022-02-28_03-15-07-xyTotal.png
|
|
Attachment 4: 2022-02-28_03-17-43-wr.png
|
|
Attachment 5: 2022-02-28_03-19-41-leakage-current.png
|
|
Attachment 6: 20220228_032156.jpg
|
|
318
|
Mon Feb 28 01:16:02 2022 |
JM, OF | Temperature decrease 115K to 110K |
02:15
Density remains stable at 155 K
Temperature setpoint decreased by 1K. Aim to get to 110 K to see if higher stable density can be achieved.
Spike in YR pressures observed as temperature was decreased, still look OK. Will leave to settle for a while. T = 114K.
Rates remain stable at ~ 10^2 peak height level.
02:33
Pressures stabilised
Setpoint to 113 K.
02:40
No pressure spike observed after decrease
Setpoint to 112 K
02:46
No pressure spike observed after decrease
Setpoint to 111 K
Pressure spike observed in YR pressures (smaller than last) - give time to recover.
03:00
Setpoint to 110 K
No pressure spike observed.
Rutherford rate peak height remains stable at ~ 10^2 . Perhaps peak height minimum is consistently higher than before, difficult to say.
Attachment 1 - 115 K
Attachment 2 - spike 1
Attachment 3 - spike 2
All pressure stable now |
Attachment 1: 20220228_021455.jpg
|
|
Attachment 2: 20220228_023832.jpg
|
|
Attachment 3: 20220228_025416.jpg
|
|
317
|
Mon Feb 28 00:24:05 2022 |
JM, OF | Current status |
01:23
Temperature setpoint = 115 k
Rutherford rates still appears stable. Max peak ~10^2 counts, min peak ~50 counts per 20 seconds. Greater fluctuations in YR07 plot however do not appear too high, peaks coincide with sustained higher rutherford counts ~10^2 counts most of the time.
Ring Avg pressure 3.1 E-10 mbar
Ring current ~ 6E7
Temperatures OK
System wide checks OK - wr fails is attached
Merger OK
Data rate ~ 1400 kb/sec
Leakage current ~ 3.23 uA |
Attachment 1: 2022-02-28_01-23-34-rates.png
|
|
Attachment 2: 2022-02-28_01-27-01-wr.png
|
|
Attachment 3: 2022-02-28_01-27-22-correlation8.png
|
|
Attachment 4: 2022-02-28_01-27-53-goodEvents.png
|
|
Attachment 5: 2022-02-28_01-28-17-ADCitems.png
|
|
Attachment 6: 20220228_013033.jpg
|
|
Attachment 7: 20220228_013020.jpg
|
|
316
|
Sun Feb 27 23:17:33 2022 |
JM, OF | Current status |
00:20
Temperature setpoint 115 K
Rutherford rate appears fairly stable. Fluctuations from a max peak of 10^2 to a minimum of ~40 peak hieght. Fluctuates remain lower than at 95 K.
Temperatures OK
System checks OK - white rabbit attached.
Leakage current ~ 3.236 uA
Data rate ~1177 kb/sec
Merger OK
Ring vacuum levels appear to have stablised from previous large fluctuations. Avg ring pressure decreased to 3.8E-10 mbar from 7.5E-10 mbar at start of the shift. (attachment 9)
00:51
Rutherford rate still stable. Fluctuations have reduced slightly. Min peak height now ~60 counts, max peak ~10^2 counts. (attachment 10)
Ring vacuum avg = 3.2 E-10 mbar.
E1 pump current ~ 2.4 A |
Attachment 1: 2022-02-28_00-19-06-Rate.png
|
|
Attachment 2: 2022-02-28_00-22-09-wr.png
|
|
Attachment 3: 2022-02-28_00-22-37-good-events.png
|
|
Attachment 4: 2022-02-28_00-23-09-ADCitems.png
|
|
Attachment 5: 2022-02-28_00-23-35-correlation.png
|
|
Attachment 6: 2022-02-28_00-25-39-ExTotal.png
|
|
Attachment 7: 2022-02-28_00-26-42-xyTotal.png
|
|
Attachment 8: 20220228_001956.jpg
|
|
Attachment 9: 20220228_003157.jpg
|
|
Attachment 10: 2022-02-28_00-51-30-rates.png
|
|
315
|
Sun Feb 27 22:03:51 2022 |
JM, OF | Current Status |
23:00
Target Temperature setpoint 95 K
Current in the ring ~ 5e7 pps
Rutherford rate appears to fluctuate by 2 ordera of magnitude depending on target density. Rate currently ~10^2 counts per 20s. (attachmet 1) can vary to nothing (attachment 6). When consistently low will increase temperature
Effect of target density can be observed in beam current plots. (attachment 7). When rutherford rate is high, beam current tends to decrease over the shot. When the rate is low the current maintains a level throughout.
Merger OK
Data rate ~ 800 kb/sec. Current run 78
Leakage current ~3.24 uA
Temperatures OK
System Checks OK - white rabbit fail attached
23:36
Rutherford rate consistently low. Maximum rate was just below 10^2 counts, only lasted for one beam shot then low count rates < 10^1 counts.
Setpoint increase to 125 K.
Increase in pressure across the board in gasjet after increasing temperature which reduces fairly rapidly after 5 minutes. Current in E1 pump reduced from 2.7 A to 2.3 A at 125k.
Rate at 125K attachment 8. Appears stable.
23:49
Setpoint decrease to 115 K,
23:56
Temperature now stable at 115K. Rate has increased to ~10^2 counts per 20 secs and appears stable (no large fluctuations). Will keep at this level to see if it can be maintained for significant time period. |
Attachment 1: 2022-02-27_23-04-21-ExRate.png
|
|
Attachment 2: 2022-02-27_23-09-55-white-rabbit.png
|
|
Attachment 3: 2022-02-27_23-10-52-correlation8.png
|
|
Attachment 4: 2022-02-27_23-12-11-ADCitems.png
|
|
Attachment 5: 2022-02-27_23-12-41-goodEvents.png
|
|
Attachment 6: 2022-02-27_23-13-47-Rate.png
|
|
Attachment 7: 20220227_231653.jpg
|
|
Attachment 8: 2022-02-27_23-46-13-Rate125K_1.png
|
|
Attachment 9: 2022-02-27_23-56-51-Rate115K.png
|
|
314
|
Sun Feb 27 20:38:06 2022 |
Rui-Jiu Chen, Yuri | Current status |
Ring current ~5.0e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1081kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_21-38-49.png
|
|
Attachment 2: Screenshot_from_2022-02-27_21-39-13.png
|
|
Attachment 3: Screenshot_from_2022-02-27_21-40-00.png
|
|
Attachment 4: Screenshot_from_2022-02-27_21-40-32.png
|
|
Attachment 5: Screenshot_from_2022-02-27_21-40-46.png
|
|
Attachment 6: Screenshot_from_2022-02-27_21-40-55.png
|
|
Attachment 7: Screenshot_from_2022-02-27_21-41-46.png
|
|
Attachment 8: Screenshot_from_2022-02-27_21-42-01.png
|
|
Attachment 9: Screenshot_from_2022-02-27_21-42-38.png
|
|
Attachment 10: Screenshot_from_2022-02-27_21-43-22.png
|
|
Attachment 11: Screenshot_from_2022-02-27_21-43-54.png
|
|
Attachment 12: Screenshot_from_2022-02-27_21-44-10.png
|
|
Attachment 13: Screenshot_from_2022-02-27_21-45-09.png
|
|
313
|
Sun Feb 27 20:19:06 2022 |
Rui-Jiu Chen, Yuri | open the valves of target. |
|
312
|
Sun Feb 27 19:01:02 2022 |
Rui-Jiu Chen, Yuri | Current status |
Ring current ~5.96e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1062 kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_20-01-53.png
|
|
Attachment 2: Screenshot_from_2022-02-27_20-02-03.png
|
|
Attachment 3: Screenshot_from_2022-02-27_20-02-41.png
|
|
Attachment 4: Screenshot_from_2022-02-27_20-03-07.png
|
|
Attachment 5: Screenshot_from_2022-02-27_20-03-17.png
|
|
Attachment 6: Screenshot_from_2022-02-27_20-03-27.png
|
|
Attachment 7: Screenshot_from_2022-02-27_20-04-11.png
|
|
Attachment 8: Screenshot_from_2022-02-27_20-04-27.png
|
|
Attachment 9: Screenshot_from_2022-02-27_20-04-58.png
|
|
Attachment 10: Screenshot_from_2022-02-27_20-05-32.png
|
|
Attachment 11: Screenshot_from_2022-02-27_20-05-50.png
|
|
Attachment 12: Screenshot_from_2022-02-27_20-06-04.png
|
|
Attachment 13: Screenshot_from_2022-02-27_20-06-16.png
|
|
311
|
Sun Feb 27 18:46:42 2022 |
Rui-Jiu Chen, Yuri | Change target temperature |
time Temperature E1 current(A) E1 frequency(Hz)
19:06 170 1.2 820
19:11 200 1.53 820
19:37 230 1.56 820
19:37 275 1.35 820
19:48 300 1.2 820
keep this temperature for 15 minues.
20:07 change the temperature from 300 k to 95 k.
21:11 95 2.65 820
|
310
|
Sun Feb 27 17:00:45 2022 |
Rui-Jiu Chen, Yuri | current status |
Ring current ~7.76e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1664 kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_18-01-40.png
|
|
Attachment 2: Screenshot_from_2022-02-27_18-01-52.png
|
|
Attachment 3: Screenshot_from_2022-02-27_18-02-38.png
|
|
Attachment 4: Screenshot_from_2022-02-27_18-03-12.png
|
|
Attachment 5: Screenshot_from_2022-02-27_18-03-23.png
|
|
Attachment 6: Screenshot_from_2022-02-27_18-03-33.png
|
|
Attachment 7: Screenshot_from_2022-02-27_18-04-24.png
|
|
Attachment 8: Screenshot_from_2022-02-27_18-04-38.png
|
|
Attachment 9: Screenshot_from_2022-02-27_18-05-18.png
|
|
Attachment 10: Screenshot_from_2022-02-27_18-06-10.png
|
|
Attachment 11: Screenshot_from_2022-02-27_18-06-30.png
|
|
Attachment 12: Screenshot_from_2022-02-27_18-06-44.png
|
|
Attachment 13: Screenshot_from_2022-02-27_18-06-57.png
|
|
309
|
Sun Feb 27 16:30:35 2022 |
Rui-Jiu Chen, Yuri | Change target temperature again |
1. change T from 95 k to 140 k.
2. keep T at 140k for 15 minutes.
3. change T from 140 k to 95 k. |
308
|
Sun Feb 27 15:41:33 2022 |
Rui-Jiu Chen, Yuri | current status |
16:38 change target temperature from 95 k to 140 k.
16:48 change target temperature from 140k to 95k.
Ring current ~5.62e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1190 kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_17-01-29.png
|
|
Attachment 2: Screenshot_from_2022-02-27_17-02-11.png
|
|
Attachment 3: Screenshot_from_2022-02-27_17-04-47.png
|
|
Attachment 4: Screenshot_from_2022-02-27_17-05-14.png
|
|
Attachment 5: Screenshot_from_2022-02-27_17-05-33.png
|
|
Attachment 6: Screenshot_from_2022-02-27_17-05-44.png
|
|
Attachment 7: Screenshot_from_2022-02-27_17-06-47.png
|
|
Attachment 8: Screenshot_from_2022-02-27_17-07-02.png
|
|
Attachment 9: Screenshot_from_2022-02-27_17-07-48.png
|
|
Attachment 10: Screenshot_from_2022-02-27_17-08-29.png
|
|
Attachment 11: Screenshot_from_2022-02-27_17-09-03.png
|
|
Attachment 12: Screenshot_from_2022-02-27_17-09-36.png
|
|
Attachment 13: Screenshot_from_2022-02-27_17-10-26.png
|
|
307
|
Sun Feb 27 15:02:16 2022 |
Rui-Jiu Chen, Yuri | Change target temperature |
16:00 change temperature from 95 k to 120k.
16:07 change temperature from 120 k to 95k.
Ring current ~4.97e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1036 kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_16-04-47.png
|
|
Attachment 2: Screenshot_from_2022-02-27_16-04-58.png
|
|
Attachment 3: Screenshot_from_2022-02-27_16-05-55.png
|
|
Attachment 4: Screenshot_from_2022-02-27_16-06-35.png
|
|
Attachment 5: Screenshot_from_2022-02-27_16-07-06.png
|
|
Attachment 6: Screenshot_from_2022-02-27_16-07-15.png
|
|
Attachment 7: Screenshot_from_2022-02-27_16-07-27.png
|
|
Attachment 8: Screenshot_from_2022-02-27_16-09-29.png
|
|
Attachment 9: Screenshot_from_2022-02-27_16-09-43.png
|
|
Attachment 10: Screenshot_from_2022-02-27_16-10-20.png
|
|
Attachment 11: Screenshot_from_2022-02-27_16-12-44.png
|
|
Attachment 12: Screenshot_from_2022-02-27_16-13-41.png
|
|
Attachment 13: Screenshot_from_2022-02-27_16-14-13.png
|
|
Attachment 14: Screenshot_from_2022-02-27_16-14-48.png
|
|
306
|
Sun Feb 27 14:05:30 2022 |
Rui-Jiu, Ragan | Current status |
Ring current ~7.61e7 particles/s
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 1312 kbytes/s
SW4
- current plot uploaded. 3.25 uA.
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-27_15-13-14.png
|
|
Attachment 2: Screenshot_from_2022-02-27_15-13-33.png
|
|
Attachment 3: Screenshot_from_2022-02-27_15-15-48.png
|
|
Attachment 4: Screenshot_from_2022-02-27_15-17-11.png
|
|
Attachment 5: Screenshot_from_2022-02-27_15-17-49.png
|
|
Attachment 6: Screenshot_from_2022-02-27_15-18-12.png
|
|
Attachment 7: Screenshot_from_2022-02-27_15-18-36.png
|
|
Attachment 8: Screenshot_from_2022-02-27_15-19-20.png
|
|
Attachment 9: Screenshot_from_2022-02-27_15-19-45.png
|
|
Attachment 10: Screenshot_from_2022-02-27_15-21-31.png
|
|
Attachment 11: Screenshot_from_2022-02-27_15-22-44.png
|
|
Attachment 12: Screenshot_from_2022-02-27_15-23-49.png
|
|
Attachment 13: Screenshot_from_2022-02-27_15-24-47.png
|
|
Attachment 14: Screenshot_from_2022-02-27_15-25-24.png
|
|
305
|
Sun Feb 27 13:34:24 2022 |
RSS TD | Current status |
14:33: 95K-->140K
14:44: 140K --> 95K
Ring current ~6e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.43 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
304
|
Sun Feb 27 12:49:44 2022 |
TD RSS | Sunday 27 February 07:00-15:00 |
13.50 Cryostat set point 120K
13.49 Temperatures OK - attachment 1
13:51 System wide checks OK except WR decoder status
Base Current Difference
aida01 fault 0xab60 : 0xab86 : 38
aida02 fault 0x88d3 : 0x88f8 : 37
aida03 fault 0x23aa : 0x23d0 : 38
aida04 fault 0x15d7 : 0x15fd : 38
aida05 fault 0x2f03 : 0x2f0f : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
13.56 set point 95K
stat, 1.8.L & 1.8.W spectra - attachments 2-6 |
Attachment 1: Screenshot_from_2022-02-27_13-51-26.png
|
|
Attachment 2: Screenshot_from_2022-02-27_13-53-55.png
|
|
Attachment 3: Screenshot_from_2022-02-27_13-54-47.png
|
|
Attachment 4: Screenshot_from_2022-02-27_13-55-15.png
|
|
Attachment 5: Screenshot_from_2022-02-27_13-55-55.png
|
|
Attachment 6: Screenshot_from_2022-02-27_13-59-51.png
|
|
303
|
Sun Feb 27 12:00:13 2022 |
RSS TD | Current status |
Ring current ~7.7e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.43 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 13-xy-2022-02-27_13-01-36.png
|
|
Attachment 2: 13-yrate-2022-02-27_13-01-06.png
|
|
Attachment 3: 13-xrate-Screenshot_from_2022-02-27_13-00-50.png
|
|
302
|
Sun Feb 27 11:00:08 2022 |
RSS TD | Current status |
12:00: 95K-->120K
12:07: 120K --> 95K
Ring current ~6e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.43 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 12-xy-2022-02-27_12-16-19.png
|
|
Attachment 2: 12-yrate-2022-02-27_12-15-42.png
|
|
Attachment 3: 12-xrate-2022-02-27_12-15-03.png
|
|
301
|
Sun Feb 27 10:00:07 2022 |
RSS TD | Current status |
Ring current ~9e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.43 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 11-xy-2022-02-27_11-01-22.png
|
|
Attachment 2: 11-ey-rate2022-02-27_11-00-46.png
|
|
Attachment 3: 11-ex-rate-2022-02-27_11-00-27.png
|
|
300
|
Sun Feb 27 09:00:09 2022 |
RSS TD | Current status |
10:00: 95K-->120K
10:08: 120K --> 95K
Ring current ~7e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.43 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 10-xy-2022-02-27_10-02-27.png
|
|
Attachment 2: 10-ey-2022-02-27_10-02-04.png
|
|
Attachment 3: 10-ex-2022-02-27_10-01-46.png
|
|
Attachment 4: 10-ey-rate-2022-02-27_10-09-54.png
|
|
Attachment 5: 10-ex-rate-2022-02-27_10-09-33.png
|
|
Attachment 6: 10-ex-rate-95k-2022-02-27_10-13-33.png
|
|
Attachment 7: 10-ey-rate-95K-2022-02-27_10-17-09.png
|
|
299
|
Sun Feb 27 08:00:23 2022 |
RSS TD | Current status |
Ring current ~7.5e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.44 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 9-xy-2022-02-27_09-00-57.png
|
|
Attachment 2: 9-ey-2022-02-27_09-00-03.png
|
|
Attachment 3: 9-ex-2022-02-27_08-59-48.png
|
|
298
|
Sun Feb 27 06:58:51 2022 |
RSS TD | Current status |
7:59: 95K-->120K
8:06: 120K --> 95K
Ring current ~7e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.44 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 8-xy-2022-02-27_08-10-15.png
|
|
Attachment 2: 8-ey-2022-02-27_08-09-46.png
|
|
Attachment 3: 8-ex-2022-02-27_08-09-29.png
|
|
Attachment 4: Screenshot_from_2022-02-27_07-16-30.png
|
|
297
|
Sun Feb 27 06:23:42 2022 |
RSS TD | Current status |
Ring current ~6e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.44 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 7-ey-2022-02-27_07-30-36.png
|
|
Attachment 2: 7-ex-2022-02-27_07-30-19.png
|
|
Attachment 3: 7-xy-total-2022-02-27_07-29-56.png
|
|
Attachment 4: IMG_7138.JPG
|
|
Attachment 5: IMG_7137.JPG
|
|
Attachment 6: IMG_7136.JPG
|
|
296
|
Sun Feb 27 05:45:53 2022 |
David, Pierre-Michell | Current status |
6:05: 95K-->120K
6:12: 120K --> 95K
Ring current 8e7 particles/s
Merger, Tape server (WS3): 1414 kB/s writing ok
Leakage current (WS4): 3.25 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-27_06-50-09exrat.png
|
|
Attachment 2: 2022-02-27_06-48-23eytot.png
|
|
Attachment 3: 2022-02-27_06-48-05extot.png
|
|
Attachment 4: 2022-02-27_06-47-47xytot.png
|
|
295
|
Sun Feb 27 04:54:46 2022 |
David, Pierre-Michell | Current status |
Ring current 8e7 particles/s
Merger, Tape server (WS3): 1664 kB/s writing ok
Leakage current (WS4): 3.26 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-27_05-56-59exra.png
|
|
Attachment 2: 2022-02-27_05-56-31exto.png
|
|
Attachment 3: 2022-02-27_05-56-13xytot.png
|
|
294
|
Sun Feb 27 03:49:36 2022 |
David, Pierre-Michell | Current status |
04:06 85K -->120K : 04:13 120K -->95K
current ring 8e7 p/s
Merger, Tape server (WS3): 1600 kB/s, writing/refreshing ok
Leakage current (WS4): 3.26 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-27_04-53-12xytot.png
|
|
Attachment 2: 2022-02-27_04-52-51exrst.png
|
|
Attachment 3: 2022-02-27_04-52-31extot.png
|
|
293
|
Sun Feb 27 02:38:52 2022 |
David, Pierre-Michell | Current status |
We have decreased the temperatur> 95K --> 90K. At 95K the target was stable, but let's try to increase the density a bit.
Edit 03:54 : 90K --> 85K
Merger, Tape server (WS3): 1300 kB/s writing ok
Leakage current (WS4): 3.27 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-27_03-43-36aida.png
|
|
Attachment 2: 2022-02-27_03-42-55xyto.png
|
|
Attachment 3: 2022-02-27_03-42-14exra.png
|
|
Attachment 4: 2022-02-27_03-41-49extot.png
|
|
292
|
Sun Feb 27 01:46:56 2022 |
David, Pierre-Michell | Current status |
So far looks like with a temperature of 95K the target is maintained with a reasonable density throughout long periods of time.
Ring current 6.41e7 particles/s
Merger, Tape server (WS3): 1472 kB/s writing fine
Leakage current (WS4): 3.26 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): everything fine |
Attachment 1: 2022-02-27_02-50-15exrate.png
|
|
Attachment 2: 2022-02-27_02-49-47extot.png
|
|
Attachment 3: 2022-02-27_02-49-28xytot.png
|
|
291
|
Sun Feb 27 00:53:24 2022 |
David, Pierre-Michell | Current status |
We have recovered the beam at 01:49, after such a long time we had no target so we increased from 80K to 120K at 01:52. 02:01 update 120K-->95K as we have observed around that temperature always fluctuations and is safer.
Ring current 7.74e7 particles/s
Merger, Tape server (WS3): 1619 kB/s writing ok
Leakage current (WS4): 3.26 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done
|
Attachment 1: 2022-02-27_01-58-53xytotal.png
|
|
Attachment 2: 2022-02-27_01-58-34exrate.png
|
|
Attachment 3: 2022-02-27_01-58-18extotal.png
|
|
Attachment 4: 2022-02-27_01-57-56current.png
|
|
Attachment 5: 2022-02-27_01-57-38goodevents.png
|
|
Attachment 6: 2022-02-27_01-57-16temp.png
|
|
Attachment 7: 2022-02-27_01-57-03aida.png
|
|
290
|
Sun Feb 27 00:07:15 2022 |
David, Pierre-Michell | No beam, valve closed |
At 00:37 we lost the beam due to a high pressure burst in the tarjet which resulted in a valve closed at YR09VV...
On-call service technicians are coming to solve the problem.
|
289
|
Sat Feb 26 23:20:13 2022 |
David, Pierre-Michell | Update status |
In this last hour we have been playing with the cryostat temperature as dictated by Nikos, in order to have the target working properly. For this, we have increased from 80K to 140K the cryostat temperature then we saw again target until we didn't. Then we went down again to 80K. After a few minutes, with a really nice target again was gone, so we increased the temperature to 120K at 00:19. At 00:27 decrease from 120K to 80K.
Ring current 8e7 particles/s
Merger, Tape server (WS3): 1580 kB/s writing ok
Leakage current (WS4): 3.27 uA
DAQ (WS2): temperature checked, clock status: ok, adc calibration: ok, white rabbit check: failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-27_00-26-44xytotal.png
|
|
Attachment 2: 2022-02-27_00-26-22exrate.png
|
|
Attachment 3: 2022-02-27_00-25-29extotal.png
|
|
Attachment 4: 2022-02-27_00-25-07temp.png
|
|
Attachment 5: 2022-02-27_00-24-47goodevents.png
|
|
Attachment 6: 2022-02-27_00-23-42current.png
|
|
Attachment 7: 2022-02-27_00-31-26.png
|
|
288
|
Sat Feb 26 22:22:27 2022 |
David, Pierre-Michell | Starting to take data |
At 23:22 we started recording data under the new conditions.
Merger, Tape server (WS3): 1408 kB/s writing ok
Leakage current (WS4): 3.27 uA
DAQ (WS2): temperature checked, clock status:ok, adc calibration:ok, white rabbit check:failed
Online monitoring (WS 5): done |
Attachment 1: 2022-02-26_23-33-05xytotal.png
|
|
Attachment 2: 2022-02-26_23-32-38exrate.png
|
|
Attachment 3: 2022-02-26_23-32-11extotal.png
|
|
Attachment 4: 2022-02-26_23-30-32white.png
|
|
Attachment 5: 2022-02-26_23-30-14correlation.png
|
|
Attachment 6: 2022-02-26_23-29-49adc.png
|
|
Attachment 7: 2022-02-26_23-29-26goodevents.png
|
|
Attachment 8: 2022-02-26_23-28-51temp.png
|
|
Attachment 9: 2022-02-26_23-26-31current.png
|
|
Attachment 10: 2022-02-26_23-24-38tape.png
|
|
Attachment 11: 2022-02-26_23-24-13merger.png
|
|
287
|
Sat Feb 26 04:36:38 2022 |
RSS TD | Saturday 26 February 07:00-15:00 |
05:36 E4 pressure c. 1.7e-9mbar *not* 3e-10mbar as reported earlier
See attachments 1 & 2
E4 pressure not < 5e-10mbar - wait to startup gas jet target
06:47 RSS & TD on-site
07.00 E4 1.70e-9mbar
S3 9.42e-10mbar
07:00 Checks: all good except for the white rabbit decoder status.
07:15 All histograms cleared in the run control tab.
07.32 E4 1.60e-9mbar
S3 9.00e-10mbar
08:06 E4 1.49e-9mbar
S3 8.59e-10mbar
08:30 E4 1.43e-9mbar
S3 8.34e-10mbar
09:00 E4 1.35e-9mbar
S3 8.03e-10mbar
09:30 E4 1.29e-9mbar
S3 7.70e-10mbar
10:00 E4 1.23e-9mbar
S3 7.49e-10mbar
10:00 Checks: all good except for the white rabbit decoder status.
10:30 E4 1.18e-9mbar
S3 7.22e-10mbar
11:00 E4 1.14e-9mbar
S3 7.02e-10mbar
11:20 Compressor was switched on.
11:30 E4 1.10e-9mbar
S3 6.82e-10mbar
12:00 E4 1.35e-9mbar
S3 6.64e-10mbar
12:30 E4 1.23e-9mbar
S3 6.46e-10mbar
14:45 Checks: all good except for the white rabbit decoder status.
17.20 System wide checks OK *except* WR decoder status
Base Current Difference
aida01 fault 0xab60 : 0xab85 : 37
aida02 fault 0x88d3 : 0x88f7 : 36
aida03 fault 0x23aa : 0x23cf : 37
aida04 fault 0x15d7 : 0x15fc : 37
aida05 fault 0x2f03 : 0x2f0e : 11
White Rabbit error counter test result: Passed 0, Failed 5
FEE64 temperatures OK - attachment 26
stats (adc data items) OK - attachment 27
DSSSD bias -100V leakage current -3.31uA |
Attachment 1: Capture_1.PNG
|
|
Attachment 2: Capture_2.PNG
|
|
Attachment 3: 7-spectrum-id8-2022-02-26_07-14-49.png
|
|
Attachment 4: 7-spectrum-id7-2022-02-26_07-14-12.png
|
|
Attachment 5: 7-spectrum-42022-02-26_07-13-21.png
|
|
Attachment 6: 7-spectrum-3-2022-02-26_07-12-50.png
|
|
Attachment 7: 7-spectrum2-2022-02-26_07-12-02.png
|
|
Attachment 8: 7-spectrum1-2022-02-26_07-10-55.png
|
|
Attachment 9: 7-spectrum-2022-02-26_07-10-10.png
|
|
Attachment 10: 7-correlation-2022-02-26_07-09-11.png
|
|
Attachment 11: 7-adc-2022-02-26_07-08-53.png
|
|
Attachment 12: 7-good-events-2022-02-26_07-08-27.png
|
|
Attachment 13: 10-id2-2022-02-26_10-06-08.png
|
|
Attachment 14: 10-id1-2022-02-26_10-04-01.png
|
|
Attachment 15: 10-correlation-2022-02-26_10-03-08.png
|
|
Attachment 16: 10-adc-2022-02-26_10-02-47.png
|
|
Attachment 17: 10-good-2022-02-26_10-02-27.png
|
|
Attachment 18: IMG_7113.JPG
|
|
Attachment 19: IMG_7112.JPG
|
|
Attachment 20: IMG_7111.JPG
|
|
Attachment 21: IMG_7114.JPG
|
|
Attachment 22: 2-adc-2022-02-26_14-45-37.png
|
|
Attachment 23: 2-good-2022-02-26_14-45-16.png
|
|
Attachment 24: 2-correlation-2022-02-26_14-44-56.png
|
|
Attachment 25: 2-id1-2022-02-26_14-48-13.png
|
|
Attachment 26: Screenshot_from_2022-02-26_17-18-11.png
|
|
Attachment 27: Screenshot_from_2022-02-26_17-18-25.png
|
|
286
|
Fri Feb 25 19:20:22 2022 |
MS, PJW | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' no changes
|
Attachment 1: Screenshot_from_2022-02-25_20-30-19.png
|
|
Attachment 2: Screenshot_from_2022-02-25_20-30-02.png
|
|
Attachment 3: Screenshot_from_2022-02-25_20-29-04.png
|
|
Attachment 4: Screenshot_from_2022-02-25_20-28-45.png
|
|
Attachment 5: Screenshot_from_2022-02-25_20-28-15.png
|
|
Attachment 6: Screenshot_from_2022-02-25_20-27-46.png
|
|
Attachment 7: Screenshot_from_2022-02-25_20-27-25.png
|
|
Attachment 8: Screenshot_from_2022-02-25_20-25-29.png
|
|
Attachment 9: Screenshot_from_2022-02-25_20-24-37.png
|
|
Attachment 10: Screenshot_from_2022-02-25_20-23-53.png
|
|
Attachment 11: Screenshot_from_2022-02-25_20-23-27.png
|
|
285
|
Fri Feb 25 17:48:22 2022 |
MS, PJW | check |
'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' - no changes
|
Attachment 1: Screenshot_from_2022-02-25_19-00-15.png
|
|
Attachment 2: Screenshot_from_2022-02-25_18-59-49.png
|
|
Attachment 3: Screenshot_from_2022-02-25_19-04-13.png
|
|
Attachment 4: Screenshot_from_2022-02-25_19-05-11.png
|
|
Attachment 5: Screenshot_from_2022-02-25_18-57-32.png
|
|
Attachment 6: Screenshot_from_2022-02-25_18-56-23.png
|
|
Attachment 7: Screenshot_from_2022-02-25_18-56-03.png
|
|
Attachment 8: Screenshot_from_2022-02-25_18-54-02.png
|
|
Attachment 9: Screenshot_from_2022-02-25_18-51-36.png
|
|
Attachment 10: Screenshot_from_2022-02-25_18-50-19.png
|
|
Attachment 11: Screenshot_from_2022-02-25_18-49-40.png
|
|
284
|
Fri Feb 25 16:01:04 2022 |
MS, PJW | check |
'Check Clock Status': Clock status test result: Passed 5, Failed 0
'Check ADC Calibration' : Calibration test result: Passed 5, Failed 0
'Check the White rabbit decoder status':
Base Current Difference
aida01 fault 0xab60 : 0xab7f : 31
aida02 fault 0x88d3 : 0x88f2 : 31
aida03 fault 0x23aa : 0x23c9 : 31
aida04 fault 0x15d7 : 0x15f6 : 31
aida05 fault 0x2f03 : 0x2f0c : 9
White Rabbit error counter test result: Passed 0, Failed 5
histograms was reset. |
Attachment 1: Screenshot_from_2022-02-25_17-07-32.png
|
|
Attachment 2: Screenshot_from_2022-02-25_17-09-37.png
|
|
Attachment 3: Screenshot_from_2022-02-25_17-14-42.png
|
|
Attachment 4: Screenshot_from_2022-02-25_17-16-17.png
|
|
Attachment 5: Screenshot_from_2022-02-25_17-29-07.png
|
|
Attachment 6: Screenshot_from_2022-02-25_17-27-46.png
|
|
Attachment 7: Screenshot_from_2022-02-25_17-27-16.png
|
|
283
|
Fri Feb 25 15:38:40 2022 |
JM, CB, PJW | Run 55, 56, 59 root histograms |
Run55 - detector out, start 22/2/22 13:20, end 23/2/22 10:49
Run 56 - detector moved in, start 23/2/22 10:4, end 23/2/22 15:46
Run 59 - detector position optimised, detector position in, start 23/2/22 17:57, end 24/2/22 00:04 |
Attachment 1: Run55_lowEnergy_Exhist.png
|
|
Attachment 2: Run55_lowEnergy_Eyhist.png
|
|
Attachment 3: Run56_lowEnergy_Exhist.png
|
|
Attachment 4: Run56_lowEnergy_Eyhist.png
|
|
Attachment 5: Run59_lowEnergy_Exhist.png
|
|
Attachment 6: Run59_lowEnergy_Eyhist.png
|
|
282
|
Fri Feb 25 11:20:30 2022 |
CB | Pressure and temperature data saved |
Saved pressure reading and temperature data logs from internal target in /TapeServer/22Feb/OtherData
Leakage current data is saved in /home/npg/Programs/caenlogger
|
281
|
Fri Feb 25 09:58:08 2022 |
GHC, CB, ML, EM | Current status |
10:58
Started new run: 77
Nozzle clogged more when trying warming again.
Warming up, venting top and bottom to clean nozzle.
|
280
|
Fri Feb 25 08:14:47 2022 |
George, Esther | Current status |
9:14
Beam intensity around ~7.5e7
Pressures OK
System check OK
White rabbit fail diff: 24
Write speed: ~1.8Mb/s
Leakage current 3.49uA
Cryostat Setpoint: 121.5K |
279
|
Fri Feb 25 06:57:09 2022 |
George, Esther | Current status |
08:00
Beam seems to be back
Intensity ~7.8e7
Pressures OK
System check OK
White rabbit fail diff: 24
Write speed: ~1.3Mb/s
Leakage current 3.49uA
Cryostat Setpoint: 121.5K |
Attachment 1: 2022-02-25_08-03-21leakage.png
|
|
278
|
Fri Feb 25 06:28:12 2022 |
George, Esther | Current Status |
07:30
Some signals on the beam monitor, seems they are optimising it.
System Check OK
Temps OK
White Rabbit fail diff: 24
Leakage Current: 3.48uA
Pressures OK
Data rate ~1Mb/s |
Attachment 1: 2022-02-25_07-33-44exRate.png
|
|
Attachment 2: 2022-02-25_07-35-11xyTotal.png
|
|
277
|
Fri Feb 25 05:04:16 2022 |
Rui-Jiu Chen, Alex | check |
Still no beam in CRING.
Motor fully out position.
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 217 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-25_06-05-12.png
|
|
Attachment 2: Screenshot_from_2022-02-25_06-05-32.png
|
|
Attachment 3: Screenshot_from_2022-02-25_06-06-12.png
|
|
Attachment 4: Screenshot_from_2022-02-25_06-06-49.png
|
|
Attachment 5: Screenshot_from_2022-02-25_06-07-24.png
|
|
Attachment 6: Screenshot_from_2022-02-25_06-07-52.png
|
|
Attachment 7: Screenshot_from_2022-02-25_06-08-15.png
|
|
Attachment 8: Screenshot_from_2022-02-25_06-09-09.png
|
|
Attachment 9: Screenshot_from_2022-02-25_06-09-50.png
|
|
Attachment 10: Screenshot_from_2022-02-25_06-10-35.png
|
|
Attachment 11: Screenshot_from_2022-02-25_06-11-27.png
|
|
Attachment 12: Screenshot_from_2022-02-25_06-12-09.png
|
|
Attachment 13: Screenshot_from_2022-02-25_06-12-41.png
|
|
Attachment 14: Screenshot_from_2022-02-25_06-13-23.png
|
|
276
|
Fri Feb 25 03:20:29 2022 |
Rui-Jiu Chen, Alex | Current status |
Gleb Vorobyev tried for few hours to fix the ion source but without success.
So, there will be no beam in CRYRING during this night shift. Today at about 08:00 the efforts to fix the ion source will be restarted. |
275
|
Fri Feb 25 03:01:11 2022 |
Rui-Jiu Chen, Alex | check |
Still no beam in CRING.
Motor fully out position.
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 236 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-25_04-02-04.png
|
|
Attachment 2: Screenshot_from_2022-02-25_04-02-17.png
|
|
Attachment 3: Screenshot_from_2022-02-25_04-03-14.png
|
|
Attachment 4: Screenshot_from_2022-02-25_04-03-52.png
|
|
Attachment 5: Screenshot_from_2022-02-25_04-04-21.png
|
|
Attachment 6: Screenshot_from_2022-02-25_04-05-04.png
|
|
Attachment 7: Screenshot_from_2022-02-25_04-05-35.png
|
|
Attachment 8: Screenshot_from_2022-02-25_04-06-25.png
|
|
Attachment 9: Screenshot_from_2022-02-25_04-07-06.png
|
|
Attachment 10: Screenshot_from_2022-02-25_04-07-48.png
|
|
Attachment 11: Screenshot_from_2022-02-25_04-10-05.png
|
|
Attachment 12: Screenshot_from_2022-02-25_04-11-13.png
|
|
Attachment 13: Screenshot_from_2022-02-25_04-12-21.png
|
|
Attachment 14: Screenshot_from_2022-02-25_04-13-16.png
|
|
274
|
Fri Feb 25 01:12:49 2022 |
Rui-Jiu Chen, Alex | Check |
Still no beam in CRING.
Motor fully out position.
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 243 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-25_02-13-46.png
|
|
Attachment 2: Screenshot_from_2022-02-25_02-14-56.png
|
|
Attachment 3: Screenshot_from_2022-02-25_02-16-05.png
|
|
Attachment 4: Screenshot_from_2022-02-25_02-16-54.png
|
|
Attachment 5: Screenshot_from_2022-02-25_02-17-29.png
|
|
Attachment 6: Screenshot_from_2022-02-25_02-17-39.png
|
|
Attachment 7: Screenshot_from_2022-02-25_02-17-57.png
|
|
Attachment 8: Screenshot_from_2022-02-25_02-19-05.png
|
|
Attachment 9: Screenshot_from_2022-02-25_02-19-17.png
|
|
Attachment 10: Screenshot_from_2022-02-25_02-20-01.png
|
|
Attachment 11: Screenshot_from_2022-02-25_02-21-36.png
|
|
Attachment 12: Screenshot_from_2022-02-25_02-22-17.png
|
|
Attachment 13: Screenshot_from_2022-02-25_02-23-40.png
|
|
273
|
Fri Feb 25 00:00:40 2022 |
Rui-Jiu Chen, Alex | Check |
There are some problems with ion source. No beam in CRYING.
Motor fully out position.
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 230 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded |
Attachment 1: Screenshot_from_2022-02-25_01-02-48.png
|
|
Attachment 2: Screenshot_from_2022-02-25_01-02-58.png
|
|
Attachment 3: Screenshot_from_2022-02-25_01-04-09.png
|
|
Attachment 4: Screenshot_from_2022-02-25_01-06-45.png
|
|
Attachment 5: Screenshot_from_2022-02-25_01-07-30.png
|
|
Attachment 6: Screenshot_from_2022-02-25_01-07-55.png
|
|
Attachment 7: Screenshot_from_2022-02-25_01-08-22.png
|
|
Attachment 8: Screenshot_from_2022-02-25_01-09-24.png
|
|
Attachment 9: Screenshot_from_2022-02-25_01-10-03.png
|
|
Attachment 10: Screenshot_from_2022-02-25_01-12-00.png
|
|
Attachment 11: Screenshot_from_2022-02-25_01-15-34.png
|
|
Attachment 12: Screenshot_from_2022-02-25_01-16-22.png
|
|
Attachment 13: Screenshot_from_2022-02-25_01-17-24.png
|
|
Attachment 14: Screenshot_from_2022-02-25_01-17-58.png
|
|
272
|
Thu Feb 24 23:15:25 2022 |
Rui-Jiu Chen, Alex | Problems with ion souce. |
|
271
|
Thu Feb 24 22:45:08 2022 |
Jordan, George, Rui-Jiu Chen | check |
- Motor fully out position.
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 236 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Check statistics tab ok
- Spectra ok
SW5
4 plots uploaded
|
Attachment 1: Screenshot_from_2022-02-24_23-49-31.png
|
|
Attachment 2: Screenshot_from_2022-02-24_23-54-01.png
|
|
Attachment 3: Screenshot_from_2022-02-24_23-54-57.png
|
|
Attachment 4: Screenshot_from_2022-02-24_23-56-46.png
|
|
Attachment 5: Screenshot_from_2022-02-24_23-58-18.png
|
|
Attachment 6: Screenshot_from_2022-02-24_23-59-10.png
|
|
Attachment 7: Screenshot_from_2022-02-24_23-59-32.png
|
|
Attachment 8: Screenshot_from_2022-02-25_00-00-48.png
|
|
Attachment 9: Screenshot_from_2022-02-25_00-02-54.png
|
|
Attachment 10: Screenshot_from_2022-02-25_00-04-35.png
|
|
Attachment 11: Screenshot_from_2022-02-25_00-09-26.png
|
|
Attachment 12: Screenshot_from_2022-02-25_00-11-10.png
|
|
Attachment 13: Screenshot_from_2022-02-25_00-13-30.png
|
|
Attachment 14: Screenshot_from_2022-02-25_00-14-11.png
|
|
270
|
Thu Feb 24 14:31:25 2022 |
JM, ML, CN | Beam Tuning Run 76 |
15:30
1.5 MeV/u beam currently being tuned
Target is ON, setpoint = 135 K
System checks OK - white rabbit fail diff ~ 9
Temperatures OK
Merger OK
Data rate ~ 1400 kb/sec
Leakage current ~ 3.41 uA
Aida 1 and 2 missing channels from previous days appear working on stat layout 2 and xy pixel total plot (attachment 3)
17:12
Beam tuning complete. See attached Ex rate histogram.
The cryo compressor is being turned OFF for around 1 hour to remove any frozen nitrogen which may be the cause of the increased pressures observed.
Beam OFF to do so.
System checks OK - white rabbit fail diff ~ 9
Temperatures OK
Merger OK
Data rate ~ 200 kb/sec
Compressor OFF, setpoint on controller is 273 K
18:51
Pressure in the ring recovered quickly after closing valves to gas jet (attachment 5)
Increasing temperature caused a large spike in pressure in the inlets. E1 turbo briefly shut down due to the pressure increase, since restarted and pressure back in the -4 mbar range. (attachment 6)
Temperature being decreased
Beam back ON
data rate ~ 1500 kb/sec
merger OK
Temperatures OK
System checks OK - white rabbit diff ~ 12
21:58
After cooling the jet target, expected density is not achieved. E4 pressure is ~4E-10 mbar compared to ~2E-8mbar previously. Temperature reduced to 127K E4 pressure increases however stilll within -10 mbar range.
With beam on target we see rutherford peak at ~3 MeV, however it is much reduced.
Beam OFF to investigate inside the cave.
Decreasing temperature of nozzle in 0.5 k steps to see effect on E4 and S1 dump.
|
Attachment 1: 2022-02-24_15-35-53-Ex-rate-histogram.png
|
|
Attachment 2: 2022-02-24_15-39-08-leakage-current.png
|
|
Attachment 3: 2022-02-24_15-44-06-aida1-5-stat.png
|
|
Attachment 4: 2022-02-24_17-07-52-xyTotal.png
|
|
Attachment 5: vacplot24.png
|
|
Attachment 6: gasjet-vacplot.png
|
|
269
|
Thu Feb 24 09:43:16 2022 |
JG, MS | check |
Beam is still beeing tuned. Target is off.
- Motor on manual
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 947 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide checks OK
- Spectra ok
SW5
4 plots uploaded
|
Attachment 1: Screenshot_from_2022-02-24_10-42-50.png
|
|
Attachment 2: Screenshot_from_2022-02-24_10-42-29.png
|
|
Attachment 3: Screenshot_from_2022-02-24_10-42-13.png
|
|
Attachment 4: Screenshot_from_2022-02-24_10-41-45.png
|
|
Attachment 5: Screenshot_from_2022-02-24_10-41-27.png
|
|
Attachment 6: Screenshot_from_2022-02-24_10-41-04.png
|
|
Attachment 7: Screenshot_from_2022-02-24_10-40-53.png
|
|
Attachment 8: Screenshot_from_2022-02-24_10-40-42.png
|
|
Attachment 9: Screenshot_from_2022-02-24_10-40-26.png
|
|
Attachment 10: Screenshot_from_2022-02-24_10-40-02.png
|
|
Attachment 11: Screenshot_from_2022-02-24_10-39-37.png
|
|
Attachment 12: Screenshot_from_2022-02-24_10-39-22.png
|
|
Attachment 13: Screenshot_from_2022-02-24_10-39-10.png
|
|
268
|
Thu Feb 24 08:30:36 2022 |
JG, MS | AIDA5 calibration test failed - solved |
UPDATE: THE PROBLEM IS SOLVED FOR NOW:
Doing the calib for the 5th time eventually worked.
------------
The ADC check showed that the calib test for aida5 failed.
We tried to do the calibration as described in the fail message, but it seems to not work. See attachement. |
Attachment 1: Screenshot_from_2022-02-24_09-09-36.png
|
|
Attachment 2: Screenshot_from_2022-02-24_09-29-24.png
|
|
267
|
Thu Feb 24 08:15:23 2022 |
JG, MS | check |
Beam is still beeing tuned. Target is off.
There is a problem with ADC calibration of aida5: see entry https://elog.ph.ed.ac.uk/CARME/268
- Motor on manual
SW3
- Merger OK
- Screenshot uploaded
- Data rate : ??? kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide check OK (ADC calibration test for AIDA5 failed, see above)
- Statistics ok
- Spectra ok
SW5
4 plots uploaded
|
Attachment 1: Screenshot_from_2022-02-24_09-16-09.png
|
|
Attachment 2: Screenshot_from_2022-02-24_09-14-51.png
|
|
Attachment 3: Screenshot_from_2022-02-24_09-14-31.png
|
|
Attachment 4: Screenshot_from_2022-02-24_09-14-10.png
|
|
Attachment 5: Screenshot_from_2022-02-24_09-13-51.png
|
|
Attachment 6: Screenshot_from_2022-02-24_09-10-41.png
|
|
Attachment 7: Screenshot_from_2022-02-24_09-10-25.png
|
|
Attachment 8: Screenshot_from_2022-02-24_09-10-08.png
|
|
Attachment 9: Screenshot_from_2022-02-24_09-09-36.png
|
|
Attachment 10: Screenshot_from_2022-02-24_09-26-41.png
|
|
Attachment 11: Screenshot_from_2022-02-24_09-26-00.png
|
|
Attachment 12: Screenshot_from_2022-02-24_09-25-11.png
|
|
Attachment 13: Screenshot_from_2022-02-24_09-24-52.png
|
|
266
|
Thu Feb 24 07:25:53 2022 |
OH (Remote) | Recover of system after crash |
08:00 Woke up to message from Carlo explaining the server had crashed.
Anydesked into the machine.
Merger was showing just data transfer it was not showing Transfer => Merger
Went through the steps detailed in https://elog.ph.ed.ac.uk/DESPEC/36 to recover it.
After following these steps was again able to forward data from the merger.
Tested and it now sends on data again.
Setup the screen session for the caen bias and restarted the logger program.
Restarted the gnuplot script to plot leakage current
Started the online monitoring software and browser.
Have posted in the chat that the DAQ is now running again. |
265
|
Thu Feb 24 05:09:47 2022 |
CB, PE, EM | Inlet valve at target closed + problems with turbopump |
The turbo pump E1 is continuing to draw too much current and the rotation frequency has steadily decreased from 817 Hz at the beginning of the night shift to 800 Hz now. The frequency recovered after the temperature was increased.
Both of the valves (inlet and dump) have been closed to improve the vacuum in the ring. |
264
|
Thu Feb 24 04:17:35 2022 |
CB, TD (remotely) | MIDAS DAQ no longer working |
DAQ server crashed around 24:00
Rebooted server but could not could not rescue remotely - could not contact raspberry pi in control of FEE bias.
Entered cave, rebooted raspberry pi. Would not accept remote connections.
Had to manually start DHCP service on carme-gsi using
systemctl start dhcpd
Raspi could then be connected.
Started DAQ, TapeServer and Merger as per usual. Tapeserver refuses writing to disk.
Checked merged - very odd timestamp situation. See attached. Timestamp look OK in the GSI WR tab. See attached.
Power cycled server and FEES
Timestamp issue solved. All are now reading 0x16 etc.
However tapeserver still does not write to disk. Reasons unclear. Possibly Merger is not merging and not passing data on?
Have to stop data collection until solved.
|
Attachment 1: Bad_Time_2022-02-24_04-34-12.png
|
|
Attachment 2: BadTime_WRTime_2022-02-24_04-34-53.png
|
|
263
|
Wed Feb 23 22:29:50 2022 |
PE, EM, CB (remotely) | check - 23:30 |
Motor position ok
Data rate: 1030kbytes/s
T ok
System wide check ok
plots uploaded
DAQ crashed soon afterwards |
262
|
Wed Feb 23 21:27:29 2022 |
TD, CN | check |
Motor position ok
Data rate: 1030kbytes/s
T ok
System wide check ok
plots uploaded
|
Attachment 1: Screenshot_from_2022-02-23_22-29-36.png
|
|
Attachment 2: Screenshot_from_2022-02-23_22-30-24.png
|
|
Attachment 3: Screenshot_from_2022-02-23_22-33-23.png
|
|
Attachment 4: Screenshot_from_2022-02-23_22-36-57.png
|
|
Attachment 5: Screenshot_from_2022-02-23_22-37-22.png
|
|
Attachment 6: Screenshot_from_2022-02-23_22-39-50.png
|
|
Attachment 7: Screenshot_from_2022-02-23_22-41-08.png
|
|
Attachment 8: Screenshot_from_2022-02-23_22-42-51.png
|
|
Attachment 9: Screenshot_from_2022-02-23_22-43-16.png
|
|
Attachment 10: Screenshot_from_2022-02-23_22-43-39.png
|
|
Attachment 11: Screenshot_from_2022-02-23_22-46-52.png
|
|
261
|
Wed Feb 23 20:52:56 2022 |
CN, TD | System wide checks |
Attachments 1-5 aida01-aida05 options
System wide checks
Attachment 6 - changes to options files - differences reported but none observed (attachments 1-5)
Attachment 7 - collect memory info from FEE64 Linux
Attachment 8 - collect FPGA timestamp errors
Attachment 9 - WR decoder status
Attachment 10 - ADC calibration
Attachment 11 - Clock status |
Attachment 1: Screenshot_from_2022-02-23_21-52-10.png
|
|
Attachment 2: Screenshot_from_2022-02-23_21-52-05.png
|
|
Attachment 3: Screenshot_from_2022-02-23_21-52-00.png
|
|
Attachment 4: Screenshot_from_2022-02-23_21-51-55.png
|
|
Attachment 5: Screenshot_from_2022-02-23_21-51-50.png
|
|
Attachment 6: Screenshot_from_2022-02-23_21-51-35.png
|
|
Attachment 7: Screenshot_from_2022-02-23_21-51-20.png
|
|
Attachment 8: Screenshot_from_2022-02-23_21-51-08.png
|
|
Attachment 9: Screenshot_from_2022-02-23_21-51-02.png
|
|
Attachment 10: Screenshot_from_2022-02-23_21-50-56.png
|
|
Attachment 11: Screenshot_from_2022-02-23_21-50-49.png
|
|
260
|
Wed Feb 23 20:06:43 2022 |
TD CN | E141 kinematics |
Assuming DSSSD is 1000um thick we can expect to observe protons for E_lab=11MeV as follows
14N(d,p)15N(gs) E_p=5.4MeV
14N(d,p)15N(5.3) E_p=8.0MeV |
Attachment 1: E141_kinematics.txt
|
________________________________________________________________________________
Reaction: 14N(2H,1H)15N
A: 14 2 1 15
Z: 7 1 1 7
Mass(amu): 14.003074 2.014102 1.007825 15.000109
Error(keV): 0.001 0.000 0.000 0.001
Origin:
Status:
Q = 8.6087 +/- 0.0000 MeV
________________________________________________________________________________
Enter reaction: 14n(2h,4he)
________________________________________________________________________________
Reaction: 14N(2H,4He)12C
A: 14 2 4 12
Z: 7 1 2 6
Mass(amu): 14.003074 2.014102 4.002603 12.000000
Error(keV): 0.001 0.000 0.000 0.000
Origin:
Status:
Q = 13.5742 +/- 0.0000 MeV
________________________________________________________________________________
Medium: si
density: 2.33000 g/cm**3
Molecule:
1 atom(s) of charge: 14.0 mass: 28.0860 amu
Average ionization potential: 0.172253E-03 MeV
Electron density: 0.699429 *10**24/cm**3
Average charge: 14.0000
Ion: 1h
charge: 1.0 mass: 1.0000 amu
Energy(MeV) dE/dx(MeV/mm) dE/dx(MeV.cm**2/mg) Range(mm) Range(mg/cm**2)
1.00000 41.7638 0.179244 0.168683E-01 3.93032
2.00000 26.4413 0.113482 0.479716E-01 11.1774
3.00000 19.8139 0.850383E-01 0.920942E-01 21.4580
4.00000 16.0296 0.687967E-01 0.148410 34.5796
5.00000 13.5554 0.581779E-01 0.216350 50.4095
6.00000 11.7994 0.506413E-01 0.295737 68.9066
7.00000 10.4828 0.449906E-01 0.385601 89.8451
8.00000 9.69841 0.416241E-01 0.486197 113.284
9.00000 8.85218 0.379922E-01 0.594047 138.413
10.0000 8.15487 0.349994E-01 0.712066 165.911
11.0000 7.56942 0.324868E-01 0.839325 195.563
12.0000 7.07026 0.303445E-01 0.976032 227.415
13.0000 6.63918 0.284943E-01 1.12211 261.451
14.0000 6.26279 0.268789E-01 1.27739 297.632
15.0000 5.93105 0.254552E-01 1.44140 335.846
16.0000 5.63627 0.241900E-01 1.61476 376.239
17.0000 5.37246 0.230577E-01 1.79614 418.501
18.0000 5.13484 0.220380E-01 1.98680 462.925
19.0000 4.91963 0.211143E-01 2.18570 509.269
20.0000 4.72370 0.202734E-01 2.39308 557.587
Medium: si
density: 2.33000 g/cm**3
Molecule:
1 atom(s) of charge: 14.0 mass: 28.0860 amu
Average ionization potential: 0.172253E-03 MeV
Electron density: 0.699429 *10**24/cm**3
Average charge: 14.0000
Ion: 4he
charge: 2.0 mass: 4.0000 amu
Energy(MeV) dE/dx(MeV/mm) dE/dx(MeV.cm**2/mg) Range(mm) Range(mg/cm**2)
1.00000 257.862 1.10670 0.382916E-02 0.892195
2.00000 217.610 0.933946 0.970542E-02 2.26136
3.00000 184.248 0.790762 0.147204E-01 3.42986
4.00000 159.425 0.684229 0.205680E-01 4.79233
5.00000 140.613 0.603489 0.272616E-01 6.35195
6.00000 125.944 0.540534 0.347858E-01 8.10510
7.00000 114.206 0.490155 0.431299E-01 10.0493
8.00000 104.603 0.448940 0.522753E-01 12.1802
9.00000 96.5996 0.414591 0.622123E-01 14.4955
10.0000 89.8241 0.385511 0.729664E-01 17.0012
11.0000 84.0110 0.360562 0.844573E-01 19.6786
12.0000 78.9663 0.338911 0.967262E-01 22.5372
13.0000 74.5447 0.319934 0.109668 25.5527
14.0000 70.6356 0.303157 0.123440 28.7614
15.0000 67.1531 0.288211 0.137756 32.0971
16.0000 64.0297 0.274806 0.152904 35.6267
17.0000 61.2114 0.262710 0.168794 39.3289
18.0000 58.6547 0.251737 0.185593 43.2431
19.0000 56.3239 0.241733 0.202664 47.2208
20.0000 54.1897 0.232574 0.220839 51.4555
______________________________________________________________________________________________________________________________
Reaction: 14N(2H,2H)14N
A: 14 2 2 14
Z: 7 1 1 7
Mass(amu): 14.003074 2.014102 2.014102 14.003074
Error(keV): 0.001 0.000 0.000 0.001
Origin:
Status:
Q(gs): 0.0000 +/- 0.0001 MeV
Projectile lab energy: 11.000 MeV
CM energy: 9.614 MeV
Excitation energy: 0.000 MeV
Reaction Q-value: 0.000 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 0.00 11.000 0.1078 0.309 0.0000 NaN 180.00 0.000 NaN NaN NaN 0.0000 NaN NaN NaN
10.00 11.44 10.952 0.1076 0.310 0.7665 84.28 168.56 0.048 0.0027 12.281 2.5089 0.7665 2.5084 0.3056 0.3987
20.00 22.83 10.810 0.1069 0.312 0.7758 78.58 157.17 0.190 0.0054 6.183 1.2631 0.7758 1.2628 0.6144 0.7919
30.00 34.14 10.582 0.1058 0.315 0.7915 72.93 145.86 0.418 0.0080 4.169 0.8516 0.7915 0.8515 0.9295 1.1744
40.00 45.33 10.281 0.1043 0.320 0.8134 67.33 134.67 0.719 0.0105 3.176 0.6488 0.8134 0.6487 1.2539 1.5416
50.00 56.36 9.920 0.1024 0.326 0.8416 61.82 123.64 1.080 0.0129 2.592 0.5294 0.8415 0.5294 1.5897 1.8891
60.00 67.19 9.517 0.1003 0.332 0.8758 56.40 112.81 1.483 0.0151 2.212 0.4518 0.8757 0.4518 1.9384 2.2135
70.00 77.80 9.089 0.0981 0.340 0.9158 51.10 102.20 1.911 0.0171 1.949 0.3981 0.9157 0.3980 2.3005 2.5123
80.00 88.18 8.654 0.0957 0.348 0.9610 45.91 91.82 2.346 0.0190 1.759 0.3593 0.9609 0.3593 2.6748 2.7835
90.00 98.31 8.228 0.0933 0.357 1.0107 40.84 81.69 2.772 0.0206 1.618 0.3305 1.0106 0.3304 3.0584 3.0263
100.00 108.18 7.822 0.0910 0.366 1.0636 35.91 71.82 3.178 0.0221 1.511 0.3086 1.0635 0.3086 3.4459 3.2402
110.00 117.80 7.448 0.0888 0.375 1.1181 31.10 62.20 3.552 0.0233 1.430 0.2919 1.1181 0.2919 3.8300 3.4256
120.00 127.18 7.113 0.0868 0.384 1.1724 26.41 52.82 3.887 0.0244 1.367 0.2791 1.1724 0.2791 4.2008 3.5832
130.00 136.35 6.825 0.0851 0.392 1.2242 21.82 43.65 4.175 0.0253 1.319 0.2693 1.2242 0.2693 4.5465 3.7140
140.00 145.33 6.585 0.0836 0.399 1.2711 17.34 34.67 4.415 0.0260 1.282 0.2619 1.2711 0.2619 4.8542 3.8189
150.00 154.14 6.398 0.0824 0.405 1.3107 12.93 25.86 4.602 0.0266 1.256 0.2565 1.3107 0.2565 5.1107 3.8993
160.00 162.83 6.263 0.0815 0.409 1.3408 8.58 17.17 4.737 0.0269 1.238 0.2528 1.3408 0.2528 5.3039 3.9559
170.00 171.44 6.182 0.0810 0.412 1.3596 4.28 8.56 4.818 0.0272 1.228 0.2507 1.3596 0.2507 5.4241 3.9896
180.00 180.00 6.155 0.0808 0.413 0.0000 0.00 0.00 4.845 0.0272 1.224 0.0000 0.0000 0.0000 5.4649 *******
______________________________________________________________________________________________________________________________
Reaction: 14N(2H,2H)14N
A: 14 2 2 14
Z: 7 1 1 7
Mass(amu): 14.003074 2.014102 2.014102 14.003074
Error(keV): 0.001 0.000 0.000 0.001
Origin:
Status:
Q(gs): 0.0000 +/- 0.0001 MeV
Projectile lab energy: 3.000 MeV
CM energy: 2.623 MeV
Excitation energy: 0.000 MeV
Reaction Q-value: 0.000 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 0.00 3.000 0.0565 0.591 0.0000 NaN 180.00 0.000 0.0000 Inf NaN 0.0000 NaN NaN NaN
10.00 11.43 2.987 0.0564 0.592 0.7672 84.28 168.57 0.013 0.0014 23.541 2.5099 0.7672 2.5098 0.3057 0.3984
20.00 22.82 2.948 0.0560 0.596 0.7765 78.59 157.18 0.052 0.0028 11.851 1.2636 0.7765 1.2635 0.6146 0.7915
30.00 34.13 2.886 0.0554 0.602 0.7921 72.93 145.87 0.114 0.0042 7.991 0.8519 0.7921 0.8519 0.9298 1.1738
40.00 45.31 2.804 0.0546 0.611 0.8139 67.34 134.69 0.196 0.0055 6.087 0.6490 0.8139 0.6490 1.2541 1.5408
50.00 56.33 2.706 0.0537 0.622 0.8420 61.83 123.67 0.294 0.0067 4.967 0.5296 0.8420 0.5296 1.5899 1.8882
60.00 67.16 2.596 0.0526 0.635 0.8761 56.42 112.84 0.404 0.0079 4.239 0.4520 0.8761 0.4520 1.9384 2.2126
70.00 77.78 2.480 0.0514 0.649 0.9160 51.11 102.22 0.520 0.0089 3.735 0.3982 0.9160 0.3982 2.3003 2.5113
80.00 88.15 2.361 0.0501 0.665 0.9611 45.92 91.85 0.639 0.0099 3.371 0.3594 0.9610 0.3594 2.6742 2.7826
90.00 98.28 2.245 0.0489 0.682 1.0106 40.86 81.72 0.755 0.0108 3.100 0.3305 1.0105 0.3305 3.0572 3.0254
100.00 108.15 2.135 0.0477 0.700 1.0633 35.92 71.85 0.865 0.0115 2.896 0.3087 1.0632 0.3087 3.4442 3.2393
110.00 117.78 2.033 0.0465 0.717 1.1177 31.11 62.22 0.967 0.0122 2.739 0.2920 1.1176 0.2920 3.8277 3.4248
120.00 127.16 1.941 0.0455 0.734 1.1718 26.42 52.84 1.059 0.0127 2.618 0.2791 1.1717 0.2791 4.1977 3.5824
130.00 136.33 1.863 0.0445 0.749 1.2234 21.83 43.67 1.137 0.0132 2.526 0.2693 1.2234 0.2693 4.5427 3.7132
140.00 145.31 1.797 0.0437 0.763 1.2701 17.34 34.69 1.203 0.0136 2.457 0.2619 1.2701 0.2619 4.8497 3.8183
150.00 154.13 1.746 0.0431 0.774 1.3096 12.94 25.87 1.254 0.0139 2.406 0.2565 1.3096 0.2565 5.1056 3.8987
160.00 162.82 1.710 0.0427 0.782 1.3396 8.59 17.18 1.290 0.0141 2.372 0.2528 1.3396 0.2528 5.2984 3.9553
170.00 171.43 1.688 0.0424 0.787 1.3583 4.28 8.57 1.312 0.0142 2.352 0.2507 1.3583 0.2507 5.4183 3.9890
180.00 NaN 1.680 0.0423 0.789 NaN 0.00 NaN 1.320 0.0142 2.345 NaN NaN NaN NaN NaN
______________________________________________________________________________________________________________________________
______________________________________________________________________________________________________________________________
Reaction: 14N(2H,1H)15N
A: 14 2 1 15
Z: 7 1 1 7
Mass(amu): 14.003074 2.014102 1.007825 15.000109
Error(keV): 0.001 0.000 0.000 0.001
Origin:
Status:
Q(gs): 8.6087 +/- 0.0001 MeV
Projectile lab energy: 11.000 MeV
CM energy: 9.614 MeV
Excitation energy: 0.000 MeV
Reaction Q-value: 8.609 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 0.00 19.605 0.2012 0.166 0.0000 0.00 180.00 0.004 0.0008 43.955 0.0000 0.0000 0.0000 NaN NaN
10.00 10.72 19.562 0.2010 0.166 0.8712 67.67 169.28 0.047 0.0026 12.887 -4.9755 0.8712 -4.9759 -0.1751 -0.2010
20.00 21.42 19.435 0.2004 0.166 0.8767 70.68 158.58 0.173 0.0050 6.697 0.2448 0.8767 0.2446 3.5841 4.0882
30.00 32.08 19.231 0.1994 0.167 0.8858 68.27 147.92 0.378 0.0074 4.534 0.5498 0.8858 0.5496 1.6117 1.8195
40.00 42.67 18.956 0.1980 0.168 0.8984 64.47 137.33 0.653 0.0097 3.451 0.5224 0.8984 0.5223 1.7199 1.9144
50.00 53.18 18.623 0.1963 0.170 0.9143 60.13 126.82 0.986 0.0119 2.808 0.4645 0.9142 0.4644 1.9686 2.1533
60.00 63.60 18.244 0.1943 0.172 0.9331 55.55 116.40 1.365 0.0140 2.387 0.4130 0.9330 0.4129 2.2595 2.4218
70.00 73.90 17.834 0.1922 0.174 0.9544 50.86 106.10 1.775 0.0159 2.093 0.3717 0.9543 0.3717 2.5676 2.6905
80.00 84.09 17.406 0.1899 0.176 0.9778 46.13 95.91 2.202 0.0178 1.879 0.3393 0.9777 0.3393 2.8820 2.9477
90.00 94.15 16.977 0.1876 0.178 1.0027 41.39 85.85 2.632 0.0194 1.719 0.3138 1.0026 0.3138 3.1954 3.1870
100.00 104.09 16.558 0.1854 0.180 1.0284 36.67 75.91 3.051 0.0209 1.596 0.2937 1.0283 0.2937 3.5015 3.4050
110.00 113.90 16.161 0.1832 0.182 1.0541 31.98 66.10 3.447 0.0222 1.502 0.2778 1.0540 0.2778 3.7938 3.5995
120.00 123.59 15.798 0.1812 0.184 1.0789 27.32 56.41 3.810 0.0233 1.429 0.2653 1.0788 0.2653 4.0660 3.7690
130.00 133.18 15.477 0.1794 0.186 1.1019 22.70 46.82 4.131 0.0243 1.372 0.2556 1.1018 0.2556 4.3114 3.9129
140.00 142.67 15.206 0.1778 0.188 1.1222 18.11 37.33 4.403 0.0251 1.329 0.2481 1.1222 0.2481 4.5233 4.0308
150.00 152.07 14.989 0.1766 0.189 1.1391 13.55 27.93 4.620 0.0257 1.297 0.2426 1.1390 0.2426 4.6955 4.1224
160.00 161.42 14.831 0.1757 0.190 1.1516 9.02 18.58 4.777 0.0261 1.276 0.2388 1.1516 0.2388 4.8228 4.1878
170.00 170.72 14.736 0.1751 0.190 1.1594 4.51 9.28 4.873 0.0264 1.263 0.2366 1.1594 0.2366 4.9010 4.2270
180.00 NaN 14.704 0.1749 0.191 NaN 0.00 NaN 4.905 0.0265 1.259 NaN NaN NaN NaN NaN
______________________________________________________________________________________________________________________________
Reaction: 14N(2H,1H)15N
A: 14 2 1 15
Z: 7 1 1 7
Mass(amu): 14.003074 2.014102 1.007825 15.000109
Error(keV): 0.001 0.000 0.000 0.001
Origin:
Status:
Q(gs): 8.6087 +/- 0.0001 MeV
Projectile lab energy: 3.000 MeV
CM energy: 2.623 MeV
Excitation energy: 0.000 MeV
Reaction Q-value: 8.609 MeV
Reaction threshold: 0.000 MeV
Particle 3 Particle 4 Non-relativistic Jacobians
( scattered/ejectile ) ( recoil ) Ejectile Ejectile
Ejectile Recoil dOmega/ dOmega/
Lab CM Lab Lab Lab dOmega Lab CM Lab Lab Lab dOmega dOmega dOmega Recoil Recoil
Angle Angle Energy Beta ToF Lab/CM Angle Angle Energy Beta ToF Lab/CM Lab/CM Lab/CM dOmega dOmega
(deg) (deg) (MeV) (ns/cm) ratio (deg) (deg) (MeV) (ns/cm) ratio Lab/Lab CM/Lab
0.00 NaN 11.547 0.1554 0.215 NaN 180.00 NaN 0.062 0.0030 11.216 NaN NaN NaN NaN NaN
10.00 10.48 11.530 0.1553 0.215 0.9119 146.83 169.52 0.079 0.0034 9.951 8.3535 0.9119 8.3535 0.1092 0.1197
20.00 20.94 11.481 0.1550 0.215 0.9158 122.63 159.06 0.128 0.0043 7.793 4.4681 0.9158 4.4681 0.2050 0.2238
30.00 31.37 11.400 0.1544 0.216 0.9221 105.93 148.63 0.208 0.0055 6.108 2.5075 0.9221 2.5074 0.3678 0.3988
40.00 41.77 11.292 0.1537 0.217 0.9308 93.50 138.23 0.317 0.0067 4.956 1.5952 0.9308 1.5951 0.5836 0.6269
50.00 52.10 11.160 0.1528 0.218 0.9417 83.43 127.90 0.449 0.0080 4.163 1.1310 0.9417 1.1309 0.8327 0.8842
60.00 62.38 11.009 0.1518 0.220 0.9546 74.75 117.62 0.599 0.0093 3.601 0.8690 0.9545 0.8690 1.0985 1.1508
70.00 72.58 10.845 0.1507 0.221 0.9690 66.96 107.42 0.764 0.0105 3.190 0.7077 0.9690 0.7077 1.3691 1.4130
80.00 82.70 10.672 0.1495 0.223 0.9847 59.76 97.30 0.937 0.0116 2.880 0.6016 0.9846 0.6016 1.6368 1.6623
90.00 92.75 10.496 0.1483 0.225 1.0012 52.99 87.25 1.112 0.0126 2.644 0.5281 1.0011 0.5281 1.8958 1.8936
100.00 102.70 10.324 0.1471 0.227 1.0180 46.52 77.30 1.285 0.0136 2.460 0.4754 1.0180 0.4753 2.1416 2.1037
110.00 112.58 10.160 0.1459 0.229 1.0347 40.29 67.42 1.449 0.0144 2.316 0.4365 1.0347 0.4365 2.3704 2.2909
120.00 122.38 10.008 0.1449 0.230 1.0506 34.24 57.62 1.601 0.0151 2.204 0.4075 1.0506 0.4075 2.5783 2.4541
130.00 132.10 9.872 0.1439 0.232 1.0653 28.34 47.90 1.736 0.0158 2.116 0.3857 1.0653 0.3857 2.7618 2.5925
140.00 141.76 9.757 0.1431 0.233 1.0782 22.55 38.24 1.852 0.0163 2.049 0.3696 1.0782 0.3696 2.9175 2.7060
150.00 151.37 9.665 0.1424 0.234 1.0887 16.84 28.63 1.944 0.0167 2.000 0.3579 1.0887 0.3579 3.0422 2.7943
160.00 160.94 9.597 0.1419 0.235 1.0966 11.19 19.06 2.012 0.0170 1.966 0.3500 1.0966 0.3500 3.1334 2.8573
170.00 170.48 9.556 0.1416 0.236 1.1015 5.59 9.52 2.053 0.0171 1.946 0.3454 1.1015 0.3454 3.1889 2.8951
180.00 NaN 9.542 0.1415 0.236 NaN 0.00 NaN 2.067 0.0172 1.940 NaN NaN NaN NaN NaN
______________________________________________________________________________________________________________________________
______________________________________________________________________________________________________________________________
... 144 more lines ...
|
259
|
Wed Feb 23 19:22:55 2022 |
CN, TD | check |
- Motor checked , OK
SW3
- Merger OK
- Screenshot uploaded
- Data rate : 755 kbytes/s
SW4
- current plot uploaded
SW2
- T OK
- system wide check OK
- Statistics ok
- Spectra ok
SW5
4 plots uploaded
|
Attachment 1: Screenshot_from_2022-02-23_20-27-38.png
|
|
Attachment 2: Screenshot_from_2022-02-23_20-33-16.png
|
|
Attachment 3: Screenshot_from_2022-02-23_20-35-15.png
|
|
Attachment 4: Screenshot_from_2022-02-23_20-41-50.png
|
|
Attachment 5: Screenshot_from_2022-02-23_20-42-53.png
|
|
Attachment 6: Screenshot_from_2022-02-23_20-44-43.png
|
|
Attachment 7: Screenshot_from_2022-02-23_20-48-47.png
|
|
Attachment 8: Screenshot_from_2022-02-23_20-50-12.png
|
|
Attachment 9: Screenshot_from_2022-02-23_20-51-10.png
|
|
Attachment 10: Screenshot_from_2022-02-23_20-51-54.png
|
|
258
|
Wed Feb 23 18:58:12 2022 |
TD CN | Wednesday 23 February 15.00-23.00 shift |
Assorted screenshots of the CARME, CRYRING and gas jet target control systems in operation
Attachment 1 - detector motion controls
Attachment 2 - gas jet target pressures (blue proxy for target density, red proxy for gas load to CRYRING)
Attachment 3 - Schottky probe monitor of beam
Attachment 4 - CRYRING controls monitor
Attachment 5 - CRYRING vacuum pressures
Attachment 6 - CRYRING beam position and profile monitor |
Attachment 1: 20220223_195402.jpg
|
|
Attachment 2: 20220223_195349.jpg
|
|
Attachment 3: 20220223_195208.jpg
|
|
Attachment 4: 20220223_195203.jpg
|
|
Attachment 5: 20220223_195142.jpg
|
|
Attachment 6: 20220223_195133.jpg
|
|
257
|
Wed Feb 23 16:57:35 2022 |
CB, CN | Optimising motor motion |
Optimised motor motion during run R58
Moved 2 mm in (20.3 potentiometer)
29.47 potentiometer
36.5 potentiometer
(started moving pneumatic)
64.36 potentiometer
74.03 potentiomater (servo in by 10 mm)
Moved in, collected counts for 5 minutes, moved out, waited for re-injection.
20.3 - 400 counts on the Rutherford peak, bins 125-131 on lowEnergyExTotalDSSD0
20.47 - 646
36.5 - 827
64.36 - 3900
74.03 - 10040
Based on Rutherford rate ratio, estimated we are 40-50 mm away from interaction point, i.e. beam roughly 1-2 cm on outer half of ring which may be consistent with BPM measurement in YR08
Decided to use 74.03 mm for data collection.
Started data acquisition on R59 at 17:27
Beam 3E7 at injection, 600 second storage, 3 second between cycles
Target pressure 1.3E12 based on 8.21E18 x S1=1.6E-8 |
256
|
Wed Feb 23 10:56:06 2022 |
Laszlo, Thanassis | Current status |
11:55
Temps OK
System Check OK
White Rabbit fail diff: 102
Write Speed: ~1.2Mb/s
Leakage Current: ~3.47uA
Cryo Temp = 129.2K setpoint (unchanged)
Pressures stable
AVG Pressures:
YR07: 1.99e-10mbar
YR08: 2.6e-9mbar
YR09: 1.03e-9mbar
YR10: 1.7e-10mbar |
Attachment 1: Screenshot_from_2022-02-23_11-55-28.png
|
|
Attachment 2: Screenshot_from_2022-02-23_11-55-08.png
|
|
Attachment 3: Screenshot_from_2022-02-23_11-54-49.png
|
|
Attachment 4: Screenshot_from_2022-02-23_11-54-37.png
|
|
Attachment 5: Screenshot_from_2022-02-23_11-54-26.png
|
|
Attachment 6: Screenshot_from_2022-02-23_11-54-07.png
|
|
Attachment 7: Screenshot_from_2022-02-23_11-53-51.png
|
|
Attachment 8: Screenshot_from_2022-02-23_11-53-38.png
|
|
Attachment 9: Screenshot_from_2022-02-23_11-53-26.png
|
|
Attachment 10: Screenshot_from_2022-02-23_11-52-51.png
|
|
255
|
Wed Feb 23 09:50:19 2022 |
Laszlo, Thanassis | Moving detector in |
We are moving the detector in run 56 |
254
|
Wed Feb 23 08:58:30 2022 |
Laszlo, Thanassis | Current status |
10:00
Temps OK
System Check OK
White Rabbit fail diff: 102
Write Speed: ~1.2Mb/s
Leakage Current: ~3.47uA
Cryo Temp = 129.2K setpoint (unchanged)
Pressures stable
AVG Pressures:
YR07: 1.93e-10mbar
YR08: 2.64e-9mbar
YR09: 1.13e-9mbar
YR10: 1.55e-10mbar |
Attachment 1: Screenshot_from_2022-02-23_10-03-03.png
|
|
Attachment 2: Screenshot_from_2022-02-23_10-02-49.png
|
|
Attachment 3: Screenshot_from_2022-02-23_10-02-18.png
|
|
Attachment 4: Screenshot_from_2022-02-23_10-01-48.png
|
|
Attachment 5: Screenshot_from_2022-02-23_10-01-33.png
|
|
Attachment 6: Screenshot_from_2022-02-23_10-01-21.png
|
|
Attachment 7: Screenshot_from_2022-02-23_09-59-58.png
|
|
Attachment 8: Screenshot_from_2022-02-23_09-59-39.png
|
|
Attachment 9: Screenshot_from_2022-02-23_09-59-14.png
|
|
Attachment 10: Screenshot_from_2022-02-23_09-58-59.png
|
|
253
|
Wed Feb 23 07:58:38 2022 |
Laszlo, Thanassis | Current status |
09:00
Temps OK
System Check OK
White Rabbit fail diff: 101
Write Speed: ~1.2Mb/s
Leakage Current: ~3.47uA
Cryo Temp = 129.2K setpoint (unchanged)
Pressures stable
AVG Pressures:
YR07: 1.88e-10mbar
YR08: 2.28e-9mbar
YR09: 9.15e-10mbar
YR10: 1.52e-10mbar |
Attachment 1: Screenshot_from_2022-02-23_09-03-08.png
|
|
Attachment 2: Screenshot_from_2022-02-23_09-02-35.png
|
|
Attachment 3: Screenshot_from_2022-02-23_09-02-20.png
|
|
Attachment 4: Screenshot_from_2022-02-23_09-02-04.png
|
|
Attachment 5: Screenshot_from_2022-02-23_09-01-50.png
|
|
Attachment 6: Screenshot_from_2022-02-23_09-01-36.png
|
|
Attachment 7: Screenshot_from_2022-02-23_09-01-15.png
|
|
Attachment 8: Screenshot_from_2022-02-23_09-00-35.png
|
|
Attachment 9: Screenshot_from_2022-02-23_08-59-04.png
|
|
Attachment 10: Screenshot_from_2022-02-23_08-58-46.png
|
|
252
|
Wed Feb 23 06:58:19 2022 |
Laszlo, Thanassis | Current status |
08:00
Temps OK
System Check OK
White Rabbit fail diff: 99
Write Speed: ~1.0Mb/s
Leakage Current: ~3.47uA
Cryo Temp = 129.2K setpoint (unchanged)
Pressures stable
AVG Pressures:
YR07: 2.06e-10mbar
YR08: 2.71e-9mbar
YR09: 1.06e-9mbar (up from previous check)
YR10: 1.78e-10mbar |
Attachment 1: Screenshot_from_2022-02-23_08-08-36.png
|
|
Attachment 2: Screenshot_from_2022-02-23_08-08-16.png
|
|
Attachment 3: Screenshot_from_2022-02-23_08-07-48.png
|
|
Attachment 4: Screenshot_from_2022-02-23_08-07-21.png
|
|
Attachment 5: Screenshot_from_2022-02-23_08-05-30.png
|
|
Attachment 6: Screenshot_from_2022-02-23_08-05-11.png
|
|
Attachment 7: Screenshot_from_2022-02-23_08-04-42.png
|
|
Attachment 8: Screenshot_from_2022-02-23_08-04-01.png
|
|
Attachment 9: Screenshot_from_2022-02-23_08-02-57.png
|
|
Attachment 10: Screenshot_from_2022-02-23_08-02-19.png
|
|
Attachment 11: leakage-2022-02-23_08-00-38.png
|
|
251
|
Wed Feb 23 06:00:25 2022 |
Jordan, George | Current status |
07:00
Pressure Stable
Temps OK
System Check OK
White Rabbit fail diff: 90
Write speed: ~1Mb/s
Leakage Current: 3.47uA
Cryo Temp Setpoint: 129.2K (unchanged)
AVG Pressures:
YR07: 1.66e-10mbar
YR08: 1.93e-9mbar
YR09: 7.63e-10mbar
YR10: 1.26e-10mbar |
Attachment 1: 2022-02-23_07-06-36exRate.png
|
|
Attachment 2: 2022-02-23_07-06-11exTotal.png
|
|
Attachment 3: 2022-02-23_07-05-42xyTotal.png
|
|
250
|
Wed Feb 23 04:55:48 2022 |
Jordan, George | Current status |
05:55
Temps OK
System Check OK
White Rabbit fail diff: 90
Write Speed: ~1.0Mb/s
Leakage Current: ~3.47uA
Cryo Temp = 129.2K setpoint (unchanged)
Pressures stable
AVG Pressures:
YR07: 1.79e-10mbar
YR08: 2.21e-9mbar
YR09: 8.64e-10mbar
YR10: 1.36e-10mbar |
Attachment 1: 2022-02-23_06-00-29xyTotal.png
|
|
Attachment 2: 2022-02-23_05-59-36exRate.png
|
|
Attachment 3: 2022-02-23_05-59-05exTotal.png
|
|
249
|
Wed Feb 23 03:52:13 2022 |
Jordan, George | Current status |
04:52
Pressure seems to be climbing a little but still stable (just peaked at end of check)
Temps OK
Write Speed: ~1.0Mb/s
System Checks OK
White Rabbit fail diff: 90
Leakage Current: 3.47uA
Cryo Temp: 129.2K (unchanged)
AVG Pressures:
YR07: 1.79e-10mbar
YR08: 2.3e-9mbar
YR09: 9.46e-10mbar
YR10: 1.41e10mbar
Avg pressures plots for last hour and last 6 hours attached. |
Attachment 1: 2022-02-23_04-58-19-XYtotal.png
|
|
Attachment 2: 2022-02-23_04-57-17-Ex-total-hist.png
|
|
Attachment 3: 2022-02-23_04-56-36-Ex-rate-hist.png
|
|
Attachment 4: pressures-1hour.jpg
|
|
Attachment 5: pressure-6hours.jpg
|
|
248
|
Wed Feb 23 02:52:09 2022 |
Jordan, George | Current status |
03:52
Temps OK
System Checks OK
White rabbit diff: 90
Data rate: 1.2Mb/s
Leakage Current: 3.474uA
Cryo temp = 129.2K (unchanged)
Pressures stable
AVG Pressures:
YR07: 1.74e-10mbar
YR08: 2.15e-9mbar
YR09: 8.61e-10mbar
YR10: 1.32e-10mbar |
Attachment 1: 2022-02-23_03-58-03xyTotal.png
|
|
Attachment 2: 2022-02-23_03-57-34exTotal.png
|
|
Attachment 3: 2022-02-23_03-56-52exRate.png
|
|
247
|
Wed Feb 23 01:56:59 2022 |
Jordan, George | Current status |
02:56
Temps OK
write speed ~1.2Mb/s
System check OK
White rabbit diff - 89
Leakage Current ~3.472uA
Pressures stable
Beam intensity ~3.0e7
cryostat setpoint 129.2K (unchanged)
AVG Pressures:
YR09: 8.48e-10mbar
YR10: 1.28e-10mbar
YR07: 1.71e-10mbar
YR08: 2.1e-9mbar
Aida 1 1*L plot attached. Some channels appear missing. Width of rutherford peaks ~280-300 channels. |
Attachment 1: 2022-02-23_03-01-54xyTotal.png
|
|
Attachment 2: 2022-02-23_03-00-54exTotal.png
|
|
Attachment 3: 2022-02-23_03-00-11exRate.png
|
|
Attachment 4: 2022-02-23_03-10-45-aida1-1*L.png
|
|
246
|
Wed Feb 23 00:54:17 2022 |
Jordan, George | Current status |
02:04
Temps OK
Data Rate - ~1.1Mb/s
System Check OK
White rabbit diff - 83
Leakage Current - ~3.475uA
YR09 AVG: 7.51E-10mbar
YR10AVG: 1.21E-10mbar
YR07AVG: 1.67E-9mbar
YR08AVG: 1.91E-9mbar |
Attachment 1: 2022-02-23_02-02-53extotal.png
|
|
Attachment 2: 2022-02-23_02-02-00lowEnergyXYtot.png
|
|
Attachment 3: 2022-02-23_01-59-14exRate.png
|
|
245
|
Tue Feb 22 21:57:13 2022 |
George, Jordan | Current status |
23:53
Temperatures OK
System checks OK - white rabbit fail diff ~ 80
Pressures stable
Cryo temp = 129.2 K setpoint
Data rate ~1.2 MB/s
Leakage current ~ 3.47 uA
00:53
YR10 avg = 1.29E-10 mbar
YR09 avg = 8.7E-10 mbar
YR04 avg = 1.13E-11 mbar
YR07 avg = 1.76E-10 mbar
YR08 avg = 2.18E-9 mbar
Data rate ~ 1.1 Mb/s
Temperatures OK
system checks OK - white rabbit fail diff ~ 80
Pressure stable, cryostat temp setpoint = 129.2k
Leakage current = 3.47 uA
Beam intensity reads ~ 2.6-3E7 |
Attachment 1: 2022-02-22_23-53-38-lowenergy-xytotal.png
|
|
Attachment 2: 2022-02-22_23-50-45-Ex-rate-hist.png
|
|
Attachment 3: 2022-02-22_23-49-20-Ex-total-hist.png
|
|
Attachment 4: 20220223_002946.jpg
|
|
244
|
Tue Feb 22 21:09:39 2022 |
David, Yuri | Current status |
Pressure seems as before 19:10.
Merger, Tape server (WS3) checks: DONE, writing ~1 MB/s, refreshing is fine
Leakage current (WS4): 3.47 uA , stable current
DAQ (WS2): temperature checked, clock status:ok, adc calibration:ok, white rabbit check:ok
DAQ (WS2): everything ok
Online monitoring (WS 5): done |
Attachment 1: 2022-02-22_22-12-55xytotal.png
|
|
Attachment 2: 2022-02-22_22-12-21exrate.png
|
|
Attachment 3: 2022-02-22_22-12-00extotal.png
|
|
243
|
Tue Feb 22 20:08:40 2022 |
David, Yuri | Current status |
Recently the pressure started to raise a bit, we have increased the temperature from 129.1 K to 129.2 K. Current pressure at S1 2.10e-7.
Merger, Tape server (WS3) checks: DONE, writing ~1 MB/s, refreshing is fine
Leakage current (WS4): 3.46 uA , stable current
DAQ (WS2): temperature checked, clock status:ok, adc calibration:ok, white rabbit check:ok
DAQ (WS2): everything ok
Online monitoring (WS 5): done |
Attachment 1: 2022-02-22_21-14-23exrate.png
|
|
Attachment 2: 2022-02-22_21-14-01xytotal.png
|
|
Attachment 3: 2022-02-22_21-13-11extotal.png
|
|
242
|
Tue Feb 22 19:28:35 2022 |
David, Yuri | vacuum |
At 19:10 Frank called us that vacuum in sections 08 and 10 got worse by about 1.5 times. There is a possible danger that vacuum valves can get closed if rest gas pressure is above the set treshhold.
Nikos warmed the cryostat by 0.5 degrees from 129.0 K to 129.5 K and the pressure became better than before. We cooled the system in steps of 0.1 degress down to 129.1 K and again observed the pressure rise.
We think we can stay with the present conditions. However, the pressure shall be monitored not to hit the treshhold. |
241
|
Tue Feb 22 19:11:18 2022 |
David | Current status |
Still trying to recover previous pressures, more details in the next entry.
Merger, Tape server (WS3) checks: DONE, writing ~1030 kB/s, refreshing is fine
Leakage current (WS4): 3.46 uA , stable current
DAQ (WS2): temperature checked,
DAQ (WS2): everything ok
Online monitoring (WS 5): done; |
Attachment 1: 2022-02-22_20-16-26eyrate.png
|
|
Attachment 2: 2022-02-22_20-15-34.eytotal.png
|
|
240
|
Tue Feb 22 18:23:02 2022 |
David. Yury | Vacuum problem |
At 19:10 the vacuum has increased suddenly everywhere in the ring, roughly a factor 1.5. |
239
|
Tue Feb 22 17:32:38 2022 |
David | Current status |
Michael is modifying the settings of the electron cooler --> changed beam from bunched to coasting
Merger, Tape server (WS3) checks: DONE, writing ~1036 kB/s, refreshing is fine
Leakage current (WS4): 3.46 uA , stable current
DAQ (WS2): temperature checked,
- clock status:
Clock status test result: Passed 5, Failed 0
Understand status as follows
Status bit 3 : firmware PLL that creates clocks from external clock not locked
Status bit 2 : always logic '1'
Status bit 1 : LMK3200(2) PLL and clock distribution chip not locked to external clock
Status bit 0 : LMK3200(1) PLL and clock distribution chip not locked to external clock
If all these bits are not set then the operation of the firmware is unreliable
- adc calibration:
Calibration test result: Passed 5, Failed 0
If any modules fail calibration , check the clock status and open the FADC Align and Control browser page to rerun calibration for that module
- white rabbit check:
Base Current Difference
aida01 fault 0xc228 : 0xc274 : 76
aida02 fault 0x5e98 : 0x5ee0 : 72
aida03 fault 0x92d3 : 0x931f : 76
aida04 fault 0x7a92 : 0x7ade : 76
aida05 fault 0xedc0 : 0xedcc : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
DAQ (WS2): everything ok
Online monitoring (WS 5): done; |
Attachment 1: 2022-02-22_18-45-21eytotal.png
|
|
Attachment 2: 2022-02-22_18-44-53extotal.png
|
|
Attachment 3: 2022-02-22_18-42-59xytotal.png
|
|
Attachment 4: 2022-02-22_18-42-41xyrate.png
|
|
Attachment 5: 2022-02-22_18-42-00spect.png
|
|
Attachment 6: 2022-02-22_18-41-22correl.png
|
|
Attachment 7: 2022-02-22_18-40-55adc.png
|
|
Attachment 8: 2022-02-22_18-40-23goodeve.png
|
|
Attachment 9: 2022-02-22_18-38-15moretem.png
|
|
Attachment 10: 2022-02-22_18-37-35temp.png
|
|
Attachment 11: 2022-02-22_18-34-55tapservice.png
|
|
Attachment 12: 2022-02-22_18-34-21merger.png
|
|
238
|
Tue Feb 22 16:09:08 2022 |
David, Yury | Current status |
Merger, Tape server (WS3) checks: DONE, writing ~1 1273kB/s, refreshing is fine
Leakage current (WS4): 3.46 uA , stable current
DAQ (WS2): temperature checked,
- clock status:
Clock status test result: Passed 5, Failed 0
Understand status as follows
Status bit 3 : firmware PLL that creates clocks from external clock not locked
Status bit 2 : always logic '1'
Status bit 1 : LMK3200(2) PLL and clock distribution chip not locked to external clock
Status bit 0 : LMK3200(1) PLL and clock distribution chip not locked to external clock
If all these bits are not set then the operation of the firmware is unreliable
- adc calibration:
Calibration test result: Passed 5, Failed 0
If any modules fail calibration , check the clock status and open the FADC Align and Control browser page to rerun calibration for that module
- white rabbit check:
Base Current Difference
aida01 fault 0xc228 : 0xc272 : 74
aida02 fault 0x5e98 : 0x5edf : 71
aida03 fault 0x92d3 : 0x931d : 74
aida04 fault 0x7a92 : 0x7adc : 74
aida05 fault 0xedc0 : 0xedcc : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
DAQ (WS2): everything ok
Online monitoring (WS 5): done |
Attachment 1: 2022-02-22_17-13-41tape.png
|
|
Attachment 2: 2022-02-22_17-13-17mantab.png
|
|
Attachment 3: 2022-02-22_17-11-46temp.png
|
|
Attachment 4: 2022-02-22_17-21-39xytotal.png
|
|
Attachment 5: 2022-02-22_17-21-09xyrate.png
|
|
Attachment 6: 2022-02-22_17-20-33extotl.png
|
|
Attachment 7: 2022-02-22_17-20-10eytotl.png
|
|
Attachment 8: 2022-02-22_17-19-29spect.png
|
|
Attachment 9: 2022-02-22_17-18-46good.png
|
|
Attachment 10: 2022-02-22_17-18-24adc.png
|
|
Attachment 11: 2022-02-22_17-17-53aidacorrel.png
|
|
Attachment 12: 2022-02-22_17-16-50moretempt.png
|
|
237
|
Tue Feb 22 14:32:04 2022 |
David, Yury | Current status |
Merger, Tape server (WS3) checks: DONE, writing ~1 MB/s, refreshing is fine
Leakage current (WS4): 3.48 uA , stable current
DAQ (WS2): temperature checked,
- clock status:
Clock status test result: Passed 5, Failed 0
Understand status as follows
Status bit 3 : firmware PLL that creates clocks from external clock not locked
Status bit 2 : always logic '1'
Status bit 1 : LMK3200(2) PLL and clock distribution chip not locked to external clock
Status bit 0 : LMK3200(1) PLL and clock distribution chip not locked to external clock
If all these bits are not set then the operation of the firmware is unreliable
- adc calibration:
Calibration test result: Passed 5, Failed 0
If any modules fail calibration , check the clock status and open the FADC Align and Control browser page to rerun calibration for that module
- white rabbit check:
Base Current Difference
aida01 fault 0xc228 : 0xc272 : 74
aida02 fault 0x5e98 : 0x5edf : 71
aida03 fault 0x92d3 : 0x931d : 74
aida04 fault 0x7a92 : 0x7adc : 74
aida05 fault 0xedc0 : 0xedcc : 12
White Rabbit error counter test result: Passed 0, Failed 5
Understand the status reports as follows:-
Status bit 3 : White Rabbit decoder detected an error in the received data
Status bit 2 : Firmware registered WR error, no reload of Timestamp
Status bit 0 : White Rabbit decoder reports uncertain of Timestamp information from WR
DAQ (WS2): everything ok
Online monitoring (WS 5): done; first shots with something?
|
Attachment 1: merger15.png
|
|
Attachment 2: 2022-02-22_15-35-14-tape.png
|
|
Attachment 3: 2022-02-22_15-39-40c.png
|
|
Attachment 4: 2022-02-22_15-42-27t.png
|
|
Attachment 5: 2022-02-22_15-52-35-goodevents.png
|
|
Attachment 6: 2022-02-22_15-57-02adc.png
|
|
Attachment 7: 2022-02-22_16-11-21correla.png
|
|
Attachment 8: 2022-02-22_16-17-33spect.png
|
|
Attachment 9: 2022-02-22_16-26-36xytot.png
|
|
Attachment 10: 2022-02-22_16-26-12xyrate.png
|
|
Attachment 11: 2022-02-22_16-25-03loweeytot.png
|
|
Attachment 12: 2022-02-22_16-24-17-lowexto.png
|
|
236
|
Tue Feb 22 12:23:23 2022 |
CB | Slow comparator thresholds lowered - test run |
13:20 Stopped run.
Slow comp thresh 0x64 -> 0x32 on all FEEs
Checkload x2
New run - R55
Restarted Data Spy code
Zerod histograms
Data rate acceptable.
Dead channels seem to come back online
Please check for deadtime/idle time once we collect enough stats. |
235
|
Tue Feb 22 12:01:46 2022 |
RSS, OF, ML, CB | Beam OFF, Target ON Run 54 |
01:00
Beam optimisation since 09:25.
Target ON and open to the ring
YR09xxx ETarget ~1.9e-10 (saturated)
Target E4 inlet pressure ~8.8E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted.
Beam optimization will be done until 3 pm and will be then give for the experiment. |
Attachment 1: 12-ex-2022-02-22_13-00-14.png
|
|
Attachment 2: 12-ey-2022-02-22_13-00-40.png
|
|
Attachment 3: 12-xy-2022-02-22_13-01-10.png
|
|
Attachment 4: 12-adc-2022-02-22_12-59-08.png
|
|
Attachment 5: 12-good-2022-02-22_12-58-48.png
|
|
Attachment 6: 12-temp-2022-02-22_12-57-28.png
|
|
Attachment 7: 12-leakage-2022-02-22_12-59-32.png
|
|
Attachment 8: 12-spectrum-2022-02-22_12-58-02.png
|
|
Attachment 9: 12-correlation-2022-02-22_12-58-25.png
|
|
234
|
Tue Feb 22 10:30:00 2022 |
RSS, OF, ML | Beam OFF, Target ON Run 54 |
11:30
Beam optimisation. No beam since 09:25.
Target ON and open to the ring
YR09xxx ETarget ~1.9e-10 (saturated)
Target E4 inlet pressure ~8.8E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted.
Beam optimization will be done until 3 pm and will be then give for the experiment. |
Attachment 1: 11-ex-2022-02-22_11-30-44.png
|
|
Attachment 2: 11-ey-2022-02-22_11-30-12.png
|
|
Attachment 3: 11-xy-2022-02-22_11-31-13.png
|
|
Attachment 4: 11-adc-2022-02-22_11-27-37.png
|
|
Attachment 5: 11-good-2022-02-22_11-28-00.png
|
|
Attachment 6: 11-temp-2022-02-22_11-26-26.png
|
|
Attachment 7: 11-merger-2022-02-22_11-28-52.png
|
|
Attachment 8: 11-leakage-2022-02-22_11-29-09.png
|
|
Attachment 9: 11-spectrum-2022-02-22_11-27-12.png
|
|
Attachment 10: 11-correlation-2022-02-22_11-28-26.png
|
|
233
|
Tue Feb 22 10:06:50 2022 |
RSS, OF, ML | PMT channel |
YR00DA1ZB is the channel for PMT rate. |
232
|
Tue Feb 22 09:10:48 2022 |
OH | Analysis of R54_14 |
Results of the fortran analyser programs for R54_14
No timewarps observed in the data
No dead time observed either.
Lots of idle time but expected with high thresholds and low noise |
Attachment 1: R54_14_analyser.txt
|
*** TDR format 3.3.0 analyser - TD - May 2021
*** ERROR: READ I/O error: 5002
blocks: 32000
ADC data format: 41363625 ( 7503.5 Hz)
Other data format: 220556385 ( 40009.7 Hz)
Sample trace data format: 0 ( 0.0 Hz)
Undefined format: 0 ( 0.0 Hz)
Other data format type: PAUSE: 42 ( 0.0 Hz)
RESUME: 42 ( 0.0 Hz)
SYNC100: 52536 ( 9.5 Hz)
WR48-63: 52536 ( 9.5 Hz)
FEE64 disc: 12893198 ( 2338.9 Hz)
MBS info: 207558031 ( 37651.8 Hz)
Other info: 0 ( 0.0 Hz)
ADC data range bit set: 226 ( 0.0 Hz)
Timewarps: ADC: 0 ( 0.0 Hz)
PAUSE: 0 ( 0.0 Hz)
RESUME: 0 ( 0.0 Hz)
SYNC100: 0 ( 0.0 Hz)
WR48-63: 0 ( 0.0 Hz)
FEE64 disc: 0 ( 0.0 Hz)
MBS info: 0 ( 0.0 Hz)
Undefined: 0 ( 0.0 Hz)
Sample trace: 0 ( 0.0 Hz)
*** Timestamp elapsed time: 5512.571 s
FEE elapsed dead time(s) elapsed idle time(s)
0 0.000 5402.413
1 0.056 314.107
2 0.000 657.608
3 0.000 2532.514
4 0.320 0.000
5 0.000 0.000
6 0.000 0.000
7 0.000 0.000
8 0.000 0.000
9 0.000 0.000
10 0.000 0.000
11 0.000 0.000
12 0.000 0.000
13 0.000 0.000
14 0.000 0.000
15 0.000 0.000
16 0.000 0.000
17 0.000 0.000
18 0.000 0.000
19 0.000 0.000
20 0.000 0.000
21 0.000 0.000
22 0.000 0.000
23 0.000 0.000
24 0.000 0.000
25 0.000 0.000
26 0.000 0.000
27 0.000 0.000
28 0.000 0.000
29 0.000 0.000
30 0.000 0.000
31 0.000 0.000
32 0.000 0.000
*** Statistics
FEE ADC Data Other Data Sample Undefined Pause Resume SYNC100 WR48-63 Disc MBS Other HEC Data
0 162443 555 0 0 0 0 37 37 481 0 0 98
1 38970 87977556 0 0 8 8 15077 15077 328 87947058 0 67
2 18930381 14268602 0 0 0 0 8127 8127 12891950 1360398 0 0
3 31507 254 0 0 0 0 4 4 246 0 0 0
4 22200324 118309418 0 0 34 34 29291 29291 193 118250575 0 61
5 0 0 0 0 0 0 0 0 0 0 0 0
6 0 0 0 0 0 0 0 0 0 0 0 0
7 0 0 0 0 0 0 0 0 0 0 0 0
8 0 0 0 0 0 0 0 0 0 0 0 0
9 0 0 0 0 0 0 0 0 0 0 0 0
10 0 0 0 0 0 0 0 0 0 0 0 0
11 0 0 0 0 0 0 0 0 0 0 0 0
12 0 0 0 0 0 0 0 0 0 0 0 0
13 0 0 0 0 0 0 0 0 0 0 0 0
14 0 0 0 0 0 0 0 0 0 0 0 0
15 0 0 0 0 0 0 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0 0 0 0
*** Timewarps
FEE ADC Pause Resume SYNC100 WR48-63 Disc MBS Undefined Samples
0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0
2 0 0 0 0 0 0 0 0 0
3 0 0 0 0 0 0 0 0 0
4 0 0 0 0 0 0 0 0 0
5 0 0 0 0 0 0 0 0 0
6 0 0 0 0 0 0 0 0 0
7 0 0 0 0 0 0 0 0 0
8 0 0 0 0 0 0 0 0 0
9 0 0 0 0 0 0 0 0 0
10 0 0 0 0 0 0 0 0 0
11 0 0 0 0 0 0 0 0 0
12 0 0 0 0 0 0 0 0 0
13 0 0 0 0 0 0 0 0 0
14 0 0 0 0 0 0 0 0 0
15 0 0 0 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0 0
17 0 0 0 0 0 0 0 0 0
18 0 0 0 0 0 0 0 0 0
19 0 0 0 0 0 0 0 0 0
20 0 0 0 0 0 0 0 0 0
21 0 0 0 0 0 0 0 0 0
22 0 0 0 0 0 0 0 0 0
23 0 0 0 0 0 0 0 0 0
24 0 0 0 0 0 0 0 0 0
25 0 0 0 0 0 0 0 0 0
26 0 0 0 0 0 0 0 0 0
27 0 0 0 0 0 0 0 0 0
28 0 0 0 0 0 0 0 0 0
29 0 0 0 0 0 0 0 0 0
30 0 0 0 0 0 0 0 0 0
31 0 0 0 0 0 0 0 0 0
32 0 0 0 0 0 0 0 0 0
*** Program elapsed time: 24.984s ( 1280.801 blocks/s, 80.050 Mb/s)
|
231
|
Tue Feb 22 08:31:25 2022 |
RSS, OF, ML | Beam OFF, Target ON Run 54 |
09:30
Beam optimisation. No beam since 09:25.
Target ON and open to the ring
YR09xxx ETarget ~1.9e-10 (saturated)
Target E4 inlet pressure ~8.8E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted.
FH and ML are optimising the beam. |
Attachment 1: 9-ex-2022-02-22_09-29-46.png
|
|
Attachment 2: 9-ey-2022-02-22_09-30-12.png
|
|
Attachment 3: 9-xy-2022-02-22_09-31-36.png
|
|
Attachment 4: 9-adc-2022-02-22_09-28-36.png
|
|
Attachment 5: 9-temp-2022-02-22_09-26-47.png
|
|
Attachment 6: 9-merger-2022-02-22_09-28-56.png
|
|
Attachment 7: 9-leakage-2022-02-22_09-29-14.png
|
|
Attachment 8: 9-spectrum-2022-02-22_09-27-11.png
|
|
Attachment 9: 9-correlation-2022-02-22_09-27-37.png
|
|
Attachment 10: 9-good-events-2022-02-22_09-28-07.png
|
|
230
|
Tue Feb 22 07:27:28 2022 |
RSS, OF, ML | Beam ON, Target ON Run 54 |
08:30
Beam ON ~1.0E7 pps
Target ON and open to the ring
YR09xxx ETarget ~1.9e-10 (saturated)
Target E4 inlet pressure ~8.8E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted.
FH and ML are optimising the beam. |
Attachment 1: 8-merger-2022-02-22_08-30-27.png
|
|
Attachment 2: 8-leakage-2022-02-22_08-30-44.png
|
|
Attachment 3: 8-adc-data-2022-02-22_08-28-58.png
|
|
Attachment 4: 8-spectrum-2022-02-22_08-28-34.png
|
|
Attachment 5: 8-xy-total-2022-02-22_08-32-04.png
|
|
Attachment 6: 8-ex-energy-2022-02-22_08-31-08.png
|
|
Attachment 7: 8-ey-energy-2022-02-22_08-31-32.png
|
|
Attachment 8: 8-correlation-2022-02-22_08-30-00.png
|
|
Attachment 9: 8-good-events-2022-02-22_08-29-33.png
|
|
Attachment 10: 8-temp-2022-02-22_08-28-09.png
|
|
229
|
Tue Feb 22 06:30:09 2022 |
RSS, OF | Beam ON, Target ON Run 54 |
07:30
Beam ON ~8.0E6 pps
Target ON and open to the ring
YR09xxx ETarget ~1.9e-10 (saturated)
Target E4 inlet pressure ~8.8E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted.
08:00 The beam is electron cooled by FH and the orbit of the beam is slighty changed. |
Attachment 1: temp-2022-02-22_07-36-13.png
|
|
Attachment 2: merger-2022-02-22_07-38-15.png
|
|
Attachment 3: leakage-2022-02-22_07-38-32.png
|
|
Attachment 4: adc-data-2022-02-22_07-37-51.png
|
|
Attachment 5: spectrum-2022-02-22_07-36-41.png
|
|
Attachment 6: ex-energy-2022-02-22_07-39-00.png
|
|
Attachment 7: ey-energy-2022-02-22_07-39-20.png
|
|
Attachment 8: xy-energy-2022-02-22_07-40-26.png
|
|
Attachment 9: correlation-2022-02-22_07-37-00.png
|
|
Attachment 10: good-events-2022-02-22_07-37-21.png
|
|
228
|
Tue Feb 22 05:47:18 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 - 2 events at 10MeV! |
06:47
Beam ON ~2.0E6 pps, still fluctates between 1e6 and 6e6 (like a step function)
Target ON and open to the ring
YR09xxx ETarget ~1.8e-10 (saturated)
Target E4 inlet pressure 8.0E-9 mbar
Dump S3 ~ 6.6E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted. |
Attachment 1: Screenshot_from_2022-02-22_06-43-36.png
|
|
Attachment 2: Screenshot_from_2022-02-22_06-43-20.png
|
|
Attachment 3: Screenshot_from_2022-02-22_06-42-48.png
|
|
Attachment 4: Screenshot_from_2022-02-22_06-42-27.png
|
|
Attachment 5: Screenshot_from_2022-02-22_06-42-00.png
|
|
Attachment 6: Screenshot_from_2022-02-22_06-46-02.png
|
|
Attachment 7: Screenshot_from_2022-02-22_06-45-48.png
|
|
Attachment 8: Screenshot_from_2022-02-22_06-45-30.png
|
|
Attachment 9: Screenshot_from_2022-02-22_06-44-28.png
|
|
Attachment 10: Screenshot_from_2022-02-22_06-43-54.png
|
|
Attachment 11: Screenshot_from_2022-02-22_06-46-32.png
|
|
227
|
Tue Feb 22 05:12:38 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 |
06:00
Beam ON ~1.5E6 pps, still fluctates between 1e6 and 6e6 (like a step function)
Target ON and open to the ring
YR09xxx ETarget ~1.8e-10
Target E4 inlet pressure 8.2E-9 mbar
Dump S3 ~ 6.5E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted. |
Attachment 1: Screenshot_from_2022-02-22_06-11-18.png
|
|
Attachment 2: Screenshot_from_2022-02-22_06-10-59.png
|
|
Attachment 3: Screenshot_from_2022-02-22_06-10-22.png
|
|
Attachment 4: Screenshot_from_2022-02-22_06-10-09.png
|
|
Attachment 5: Screenshot_from_2022-02-22_06-09-54.png
|
|
Attachment 6: Screenshot_from_2022-02-22_06-09-31.png
|
|
Attachment 7: Screenshot_from_2022-02-22_06-09-12.png
|
|
Attachment 8: Screenshot_from_2022-02-22_06-08-58.png
|
|
Attachment 9: Screenshot_from_2022-02-22_06-08-22.png
|
|
Attachment 10: Screenshot_from_2022-02-22_06-07-57.png
|
|
Attachment 11: Screenshot_from_2022-02-22_06-07-46.png
|
|
226
|
Tue Feb 22 04:09:28 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 - first event at 10MeV ! |
05:00
Beam ON ~2E6 pps, still fluctates between 2e6 and 6e6 (like a step function)
Target ON and open to the ring
YR09xxx ETarget ~1.8e-10
Target E4 inlet pressure 8.3E-9 mbar
Dump S3 ~ 6.8E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted. |
Attachment 1: Screenshot_from_2022-02-22_05-07-47.png
|
|
Attachment 2: Screenshot_from_2022-02-22_05-07-33.png
|
|
Attachment 3: Screenshot_from_2022-02-22_05-07-19.png
|
|
Attachment 4: Screenshot_from_2022-02-22_05-06-58.png
|
|
Attachment 5: Screenshot_from_2022-02-22_05-06-30.png
|
|
Attachment 6: Screenshot_from_2022-02-22_05-06-19.png
|
|
Attachment 7: Screenshot_from_2022-02-22_05-06-06.png
|
|
Attachment 8: Screenshot_from_2022-02-22_05-05-40.png
|
|
Attachment 9: Screenshot_from_2022-02-22_05-05-30.png
|
|
Attachment 10: Screenshot_from_2022-02-22_05-05-11.png
|
|
Attachment 11: Screenshot_from_2022-02-22_05-04-25.png
|
|
225
|
Tue Feb 22 03:05:06 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 |
04:00
Beam ON ~5.5E6 pps, but it fluctates between 2e6 and 6e6 (like a step function) in about each 5-10min
Target ON and open to the ring
YR09xxx ETarget ~1.8e-10
Target E4 inlet pressure 8.4E-9 mbar
Dump S3 ~ 7.0E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted. |
Attachment 1: Screenshot_from_2022-02-22_04-02-25.png
|
|
Attachment 2: Screenshot_from_2022-02-22_04-03-08.png
|
|
Attachment 3: Screenshot_from_2022-02-22_04-03-44.png
|
|
Attachment 4: Screenshot_from_2022-02-22_04-03-24.png
|
|
Attachment 5: Screenshot_from_2022-02-22_04-04-28.png
|
|
Attachment 6: Screenshot_from_2022-02-22_04-04-10.png
|
|
Attachment 7: Screenshot_from_2022-02-22_04-03-55.png
|
|
Attachment 8: Screenshot_from_2022-02-22_04-10-57.png
|
|
Attachment 9: Screenshot_from_2022-02-22_04-10-37.png
|
|
224
|
Tue Feb 22 02:02:11 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 |
03:00
Beam ON ~7E6 pps
Target ON and open to the ring
Target E4 inlet pressure 8.5E-9 mbar
Dump S3 ~ 7.3E-10 mbar
Nozzle temperature 150 K
Run 54 started, monitoring code restarted. |
Attachment 1: TapeServer2022-02-22_02-49-29.png
|
|
Attachment 2: Leakage_current2022-02-22_02-50-39.png
|
|
Attachment 3: Temperature2022-02-22_02-52-02.png
|
|
Attachment 4: Screenshot_from_2022-02-22_02-54-34.png
|
|
Attachment 5: Good_events2022-02-22_02-55-14.png
|
|
Attachment 6: AIDA_CorrelationScreenshot_from_2022-02-22_02-56-04.png
|
|
Attachment 7: Spectrum_Browser2022-02-22_02-59-28.png
|
|
Attachment 8: LowEx2022-02-22_03-00-02.png
|
|
Attachment 9: LowEy2022-02-22_03-00-28.png
|
|
Attachment 10: LowEXYTotal2022-02-22_03-01-16.png
|
|
223
|
Tue Feb 22 00:32:35 2022 |
JM, CB, LV, PM, AK | Beam ON, Target ON Run 54 |
01:30
Beam ON ~6E6 pps
Target ON and open to the ring
Target E4 inlet pressure 8.12E-9 mbar
Dump S3 ~ 7E-10 mbar
Nozzle temperature 150 K
1:40
Run 54 started, monitoring code restarted. |
Attachment 1: 2022-02-22_01-38-53-Ex-histogram.png
|
|
Attachment 2: 2022-02-22_01-38-17-XYtotal.png
|
|
222
|
Mon Feb 21 21:04:08 2022 |
SHB, JM, CB, OH (remotely) | Schottky RF view from the beam inside |
|
Attachment 1: pio-2022.02.21.21.54.46.895.PNG
|
|
221
|
Mon Feb 21 20:56:51 2022 |
SHB, JM, CB, OH (remotely) | DAQ connection for ion current |
signals from ICT and CRYRADIO are now connected to AIDA2 and AIDA5 respectively. ICT is set to factor 200 and CRYRADIO to 40 for now.
(AIDA3 --> electron cooler)
|
Attachment 1: cry.jpg
|
|
220
|
Mon Feb 21 18:03:58 2022 |
SHB, JM, CB, OH (remotely) | Info: signals on ion intensity for experiment |
Currently 4 possibilities to have a look at the beam, all of them are OK to check whether there is beam or not, but some of them are better for checking absolute values.
- Schottky RF signal
- Schottky zero span signal
- CRYRING Radio (signal from BPM) --> YR12DA1R
- ICT (integrated current transformer) --> YR12DT1C
absolute measurements:
- currently the beam is at 5.5 MeV/u calibrated on the flat top. The signal of ICT before is noisy but on the flat top it is well calibrated.
relative measurements:
- The signal from CRYRING radio, has a good amplifier and is frequency independent with a nice log signal. it can be used for relative measurements
- The zero span signal of Schottky can be used, but it is not reliable for measuring the number of ions since change in the control room may change the signal level.
- Schottky RF signal can be viewed by running Launcher-App using the button "VNC Tektronix RSA". The only problem is that only one instance can run, so if in the control room someone needs it, in the container it will disappear.
|
219
|
Mon Feb 21 17:26:19 2022 |
JM, CB, SHB, OH (remotely) | Beam ON run 53 |
21/2/22 16:00
Call from main control room, beam is ON, probably has been for several hours judging by rate on xy total plot.
Changes made to online monitoring code by OH, include setting to only 1 DSSD, correct offsets from the pulser walkthrough, offline analysis capabilities and X/Y position correction.
Monitoring code restarted in CARME_SORT/build_no_output
17:31 Run 50 ended, run 51 started now beam is definitely in the ring. Tried to insert span zero schottky into aida2 correlation scalar, however rate was far too high.
18:03 Removed span zero schottky signal, started new run 52.
With beam ON we noticed some missing channels in the xy total plot. Aida 1 and 2 stat totals on spectrum browser show missing channels. Asic check on both to no effect. Fees power cycled.
19:57 Started run 53. Currently waiting for beam
21:20 Beam ON
1*L plots checked for aida 1, several channels showed no data (noise)
Slow comparator LEC threshold lowered to 0x32 to aida 1, checked 1*L plots and channels are now showing lots of noise. So those channels appear to be quiet using 0x64 threshold. (attachment 3)
Threshold increased back to 0x64
22:33
System checks OK -white rabbit fails on all. ~30 seperation
Temperatures OK
Statistics within range - new scalars added for aida02 and aida05
Data being written ~300 kb/sec
Leakage current ~3.6 uA
00:39
System checks checks OK -white rabbit fails on all. ~42 seperation
Temperatures OK
Statistics within range with new scalars
Data being written 256 kb/sec
Leakage current ~3.615 uA
XY counts dominated by hot channels - most blue pixels are ~10 counts
|
Attachment 1: 2022-02-21_18-50-06-xyTotal.png
|
|
Attachment 2: 2022-02-21_19-05-27-aida1-4-rate.png
|
|
Attachment 3: 2022-02-21_22-17-54-aida1-1*L-lowerThreshold.png
|
|
Attachment 4: 2022-02-21_22-35-19-goodEvents.png
|
|
Attachment 5: 2022-02-21_22-35-53-ADC-dataitems.png
|
|
Attachment 6: 2022-02-21_22-36-28-aida-correlation.png
|
|
Attachment 7: 2022-02-21_22-58-18-Ex-histogram.png
|
|
Attachment 8: 2022-02-21_22-59-22-XY-total.png
|
|
Attachment 9: 2022-02-22_00-42-14-XY-total.png
|
|
218
|
Mon Feb 21 12:10:47 2022 |
RSS, JG | Alpha background run50 |
Beam OFF. Run started 20/2/22 18:42
System checck OK, except white rabbit all fail
Temperatures OK
Leakage current 3.59 uA.
potentiometer = 20.28 mm
11:36 OH noticed a channel in aida03 was running hot. Did an asic load check and rate returned to normal.
Online monitor also restarted.
15:06 Investigating the high rate in aida03 ASIC01 channel 11.
Looking at the waveforms it seems channel 11 is consistently different.
Attachment 1 shows a typical waveform for it
|
Attachment 1: 220221_1506_aida03_1*W.png
|
|
217
|
Mon Feb 21 10:59:48 2022 |
RSS, JG | Alpha background run50 |
Beam OFF. Run started 20/2/22 18:42
System checck OK, except white rabbit all fail
Temperatures OK
Leakage current 3.59 uA.
potentiometer = 20.28 mm
11:36 OH noticed a channel in aida03 was running hot. Did an asic load check and rate returned to normal.
Online monitor also restarted.
|
216
|
Mon Feb 21 09:07:37 2022 |
RSS, JG | Alpha background run50 |
Beam OFF. Run started 20/2/22 18:42
System checck OK, except white rabbit all fail
Temperatures OK
Leakage current 3.57 uA.
potentiometer = 20.28 mm
|
215
|
Mon Feb 21 08:05:45 2022 |
RSS, JG | Alpha background run50 |
Beam OFF. Run started 20/2/22 18:42
System checck OK, except white rabbit all fail
Temperatures OK
Leakage current 3.57 uA.
potentiometer = 20.28 mm
|
214
|
Sun Feb 20 22:04:21 2022 |
JM, CB | Alpha Background run 50 |
Beam OFF. Run started 20/2/22 18:42
Fast comparator threshold HEC set to 0xff for all fees and asics to combat high energy noise bursts.
Electron cooler scalar plugged back into aida03 ~3000 per sec.
System checck OK, except white rabbit all fail
Temperatures OK
Leakage current 3.638 uA.
Statistics rates in noraml range, aida03 slightly higher as expected with scalar. |
213
|
Sat Feb 19 20:08:43 2022 |
JM, CB | Beam ON run 49 |
Run started 19/2/22 21:05. Beam ON
Temperatures OK
System wide checks OK - white rabbit fails on all.
Statistics are within normal range (10-20K goodevents)
Leakage current 3.6 uA
potentiometer = 20.28 mm
20/2/22
Leakage current ~3.675 uA. Looks stable now motors can not move (attachment 1)
potentiometer = 20.28 mm
Temperatures OK
System wide checks OK - white rabbit fails all
Statistic within normal range (see attachment 2 - ADC data items) Counter for aida 1 and aida 2 much higher due to noise bursts (elog 212)
XY total plot (attachment 3)
Low energy Ex histogram (attachment 4)
Low energy Ey histogram (attachment 5)
Tape Server data written (attachment 6)
Run end 20/2/22 15:08 |
Attachment 1: 2022-02-20_14-16-16-leakage-current.png
|
|
Attachment 2: 2022-02-20_14-18-07-statistic-ADCdata.png
|
|
Attachment 3: 2022-02-20_14-20-43-xyTotal.png
|
|
Attachment 4: 2022-02-20_14-24-19-LowEnergy-ExTotal.png
|
|
Attachment 5: 2022-02-20_14-28-02-LowEnergy-EyTotal.png
|
|
Attachment 6: 2022-02-20_14-25-45-Tape-server-data-written.png
|
|
212
|
Sat Feb 19 14:51:58 2022 |
JM, CB | Burst high energy noise |
Noticed bursts of high energy noise on aida01 and aida02 during run 47 and 48.
Good events rate jumps from 10-20k range to 250k. ADC data items rate jumps from 0-1 to 2k. Data rate being written increases to ~2500 kb/s from ~20 kb/s
Effect appears short lived ~30s at a time. On 18/2/22 the asic was check loaded which appeared to remove the increased rate but due to the time taken to asic check the burst had already finished.
Asic 2 on aida01 and asic 1 on aida02 are affected. See attached plots.
Fast comparator threshold HEC currently set to 0x2 for all
Zoomed in region of aida 1 asic 2 and the same region for asic 1 to see the difference are attached.
|
Attachment 1: 2022-02-19_15-50-50-aida1-4-stat-logscale.png
|
|
Attachment 2: 2022-02-19_15-47-13-aida1-2*H.png
|
|
Attachment 3: 2022-02-19_15-49-48-aida2-1*H.png
|
|
Attachment 4: 2022-02-20_14-01-40-aida1-2*H.png
|
|
Attachment 5: 2022-02-20_14-02-55-aida1-1*H.png
|
|
211
|
Fri Feb 18 20:31:33 2022 |
JM, CB | Alpha Background run 48 |
Alpha background started with beam ON - run47. Run started 16:49 18/2/22 (beam subsequently found to be OFF)
Beam energy 4 keV, beam lifetime ~ 100 ms
Noticed aida01 and aida02 were showing increased good event rates to ~250,000, effect seen on spectrum browser and data writing rate increased to ~2500 kb/sec from ~20 kb/sec. ASIC check on the affected fees brought rates back to normal range 10-20k good events. Multiple instances occurred in a row on run47 so fees were power cycled. Run ended 17:21
Run 48 started after power cycle
Run start 17:42, beam OFF ~18:30-19:00 for access to the cave to aid allignment of the gas jet target.
Rates remain stable until ~20:00. Aida01 and 02 show increased rates, asic check -> back to normal range.
Beam ON 21:43
All system checks OK. All rates OK. Leakage current ~3.62 uA.
14:00 19/2/22
Beam OFF during 18/2/22 due to an interlock controlled by main control room unknown to us. Beam has been OFF.
Leakage current plot attached. System checks OK, except white rabbit, all fail.
Motors were at 26mm potentiometer opposed to 20.2mm which was the set point (fully out 19mm). Motors dragged in as pneumatic was not fully out. Likely result of pneumatic out valve being closed. Pneumatic fully out, potentometer back to 20.2mm and leakage current drop from ~3.75 uA to 3.54uA.
Run ended 21:00 |
Attachment 1: 2022-02-19_14-45-25-leage-current.png
|
|
210
|
Fri Feb 18 13:03:02 2022 |
RSS, ML, CB | Alpha background run46 |
14:00
Check Leakage current: (see attachment 4)
Check NewMerger tab: (see attachment 2)
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 11)
ADC coincidence-info #8 (see attachment 10)
Good events (see attachment 9)
Check Spectrum Browser tab: (see attachment 6)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 7)
LowEnergyExTotal (see attachment 8)
LowEnergyEyTotal (see attachment 5)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 3)
Data taking was stopped at 14:04. |
Attachment 1: temp-2022-02-18_13-59-30.png
|
|
Attachment 2: merger-2022-02-18_13-58-41.png
|
|
Attachment 3: system-2022-02-18_13-59-57.png
|
|
Attachment 4: leakage-2022-02-18_13-59-02.png
|
|
Attachment 5: ey-total-2022-02-18_13-57-46.png
|
|
Attachment 6: spectrum-2022-02-18_14-02-02.png
|
|
Attachment 7: xy-total-2022-02-18_14-02-23.png
|
|
Attachment 8: ex-energy-2022-02-18_13-56-32.png
|
|
Attachment 9: good-event-2022-02-18_14-00-53.png
|
|
Attachment 10: correlation-2022-02-18_14-00-27.png
|
|
Attachment 11: adc-data-item-2022-02-18_14-01-17.png
|
|
209
|
Fri Feb 18 11:07:52 2022 |
RSS, ML, CB | Alpha background run46 |
12:00
Check Leakage current: (see attachment 4)
Check NewMerger tab: (see attachment 2)
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 9)
ADC coincidence-info #8 (see attachment 8)
Good events (see attachment 7)
Check Spectrum Browser tab: (see attachment 10)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 11)
LowEnergyExTotal (see attachment 5)
LowEnergyEyTotal (see attachment 6)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 3) |
Attachment 1: temp-2022-02-18_12-01-21.png
|
|
Attachment 2: merger-2022-02-18_12-00-10.png
|
|
Attachment 3: system-2022-02-18_12-01-52.png
|
|
Attachment 4: leakage-2022-02-18_12-00-43.png
|
|
Attachment 5: energy-ex-2022-02-18_12-07-07.png
|
|
Attachment 6: energy-ey-2022-02-18_12-05-29.png
|
|
Attachment 7: good-event-2022-02-18_12-02-49.png
|
|
Attachment 8: correlation-2022-02-18_12-03-19.png
|
|
Attachment 9: adc-data-item2022-02-18_12-02-25.png
|
|
Attachment 10: spectrum_browser-2022-02-18_12-03-55.png
|
|
Attachment 11: energy-xy-total-2022-02-18_12-14-29.png
|
|
208
|
Fri Feb 18 08:58:08 2022 |
RSS, ML, CB | Alpha background run46 |
10:00
Check Leakage current: (see attachment 2)
Check NewMerger tab: (see attachment 5)
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 3)
ADC coincidence-info #8 (see attachment 6)
Good events (see attachment 7)
Check Spectrum Browser tab: (see attachment 9)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 9)
LowEnergyExTotal (see attachment 10)
LowEnergyEyTotal (see attachment 11)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 8) |
Attachment 1: temp-2022-02-18_09-50-32.png
|
|
Attachment 2: leakage-2022-02-18_09-44-34.png
|
|
Attachment 3: adc-data-2022-02-18_09-52-48.png
|
|
Attachment 4: energy_ex_2022-02-18_09-55-48.png
|
|
Attachment 5: new-merger-2022-02-18_09-44-10.png
|
|
Attachment 6: correlation-2022-02-18_09-51-48.png
|
|
Attachment 7: good-events-2022-02-18_09-52-17.png
|
|
Attachment 8: system-wide-2022-02-18_09-51-08.png
|
|
Attachment 9: spectrum-browser-2022-02-18_09-53-52.png
|
|
Attachment 10: energy-xy-total-2022-02-18_10-12-10.png
|
|
Attachment 11: energy-ey-2022-02-18_09-58-53.png
|
|
207
|
Thu Feb 17 18:19:16 2022 |
JM, CB, SD | Alpha Background run 46 |
Both GSI vacuum group and edinburgh ion gauges OFF
Fees power cycled. All system checks OK.
Writing to file, alpha background overnight.
Moved detector IN 2mm. Noise appears reduced with any distance moved in so overnight spectra to be taken while IN. Not moving further in as possible beam tomorrow.
22:44
Leakage current stable, slowly decreasing ~3.57 uA (attachment 1)
Statistics look OK
Temperatures OK
System wide check all pass - OK
Noise appears much reduced on spectrum Browser channels. (attachement 2). Compared to same plot on elog 197
XYtotal per pixel (attachment 3)
Ex histogram (attachment 4)
|
Attachment 1: 2022-02-17_22-41-45-leakageCurrent.png
|
|
Attachment 2: 2022-02-17_22-51-07-aida1-3*L.png
|
|
Attachment 3: 2022-02-17_22-54-06-XYTotal.png
|
|
Attachment 4: 2022-02-17_22-56-38-ExHist.png
|
|
206
|
Thu Feb 17 16:26:27 2022 |
JM, CB, SD | Gauges OFF, Pulser Movement tests |
Edinburgh group ion gauge turned OFF -> decrease in leakage current from 10.05 uA to 6.5 uA
GSI vacuum group ion gauge turned OFF -> decrease in leakage current from 6.5 uA to 3.63 uA
GSI large ion pump turned ON -> no effect on leakage current
Motors moved IN the same as elog 201. No significant increase in leakage current as moved further into the chamber (attachment 1). Pulser widths follow similar path as previous tests. Aida1 and 2 statrt with larger pulser widths which reduce as are moved into the chamber. Aida3,4 start lower than aida1,2 and remain at same level throughout. (attachment2)
RF ring signal removed from aida5. Data rate dropped back to ~20 kb/sec, Merger rate ~80,000 items/sec
Noise peak on aida1 appears reduced after gauges switched OFF with detector fully out (attachment 3) coompare to elog 201
Noise peak is removed once detector is moved in. (attachment 4)
Ey histogram (attachment 5) shows multiple peaks for the same pulser amplitude.
XY total pixels plot (attachment 6)
1.8 waveforms for aida 1,2,5 (attachment 7) |
Attachment 1: leakage-potentiometer2_1.png
|
|
Attachment 2: pulserWidth_potentiometer2.png
|
|
Attachment 3: 2022-02-17_16-54-27aida125-1.8*L-fullyout.png
|
|
Attachment 4: 2022-02-17_16-48-55-aida125-1.8*L-fullyin.png
|
|
Attachment 5: 2022-02-17_17-29-25-Eyhistogram-pulserON.png
|
|
Attachment 6: 2022-02-17_18-40-14-xyTotal-pulser.png
|
|
Attachment 7: 2022-02-17_22-59-53-aida125-1.8*W.png
|
|
205
|
Thu Feb 17 15:09:40 2022 |
JM,CB,SD | Alpha background run44 |
16:09
Check Leakage current: (see attachment 1)
Check NewMerger tab: (see attachment 3)
Check Fee temperatures: all green (see attachment 5)
Check statistics tab: ADC data items (see attachment 2)
ADC coincidence-info #8 (see attachment 6)
Good events (see attachment 4)
Check Spectrum Browser tab: (see attachment 10)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 9)
LowEnergyExTotal (see attachment 7)
LowEnergyEyTotal (see attachment 8)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 11)
Run stopped for pulser tests 16:13 |
Attachment 1: 2022-02-17_16-04-11_lowEnergyExTotal.png
|
|
Attachment 2: 2022-02-17_16-05-03_lowenergyEytotal.png
|
|
Attachment 3: 2022-02-17_16-03-06_lowEnergyTotalDSSSD.png
|
|
Attachment 4: 2022-02-17_15-54-12_leakagecurrent.png
|
|
Attachment 5: 2022-02-17_15-53-25_Merger.png
|
|
Attachment 6: 2022-02-17_15-52-29_Temperature.png
|
|
Attachment 7: 2022-02-17_15-50-57_GoodEvents.png
|
|
Attachment 8: 2022-02-17_15-49-12._AIDA_ADC_dataitems.png
|
|
204
|
Thu Feb 17 13:12:19 2022 |
RSS, AG | Alpha background run43 |
14:00
Check Leakage current: (see attachment 1)
Check NewMerger tab: (see attachment 3)
Check Fee temperatures: all green (see attachment 5)
Check statistics tab: ADC data items (see attachment 2)
ADC coincidence-info #8 (see attachment 6)
Good events (see attachment 4)
Check Spectrum Browser tab: (see attachment 10)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 9)
LowEnergyExTotal (see attachment 7)
LowEnergyEyTotal (see attachment 8)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 11) |
Attachment 1: leakage_2022-02-17_13-58-44.png
|
|
Attachment 2: data_item_2022-02-17_14-02-32.png
|
|
Attachment 3: new-merger-2022-02-17_13-57-51.png
|
|
Attachment 4: good_events_2022-02-17_14-01-53.png
|
|
Attachment 5: temperature_2022-02-17_13-59-30.png
|
|
Attachment 6: correlation_8_2022-02-17_14-01-13.png
|
|
Attachment 7: energy_ex_total_2022-02-17_14-06-46.png
|
|
Attachment 8: energy_ey_total_2022-02-17_14-08-06.png
|
|
Attachment 9: energy-xy-total-2022-02-17_14-11-42.png
|
|
Attachment 10: spectrum_browser_2022-02-17_14-03-27.png
|
|
Attachment 11: system_wide_checks_2022-02-17_14-00-31.png
|
|
203
|
Thu Feb 17 08:31:07 2022 |
RSS, AG | Alpha background run43 |
09:15
Check Leakage current: (see attachment 2)
Check NewMerger tab: (see attachment 5)
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 4)
ADC coincidence-info #8 (see attachment 6)
Good events (see attachment 7)
Check Spectrum Browser tab: (see attachment 10)
Check the following plots:
LowEnergyXYTotalDSSD0 (see attachment 3)
LowEnergyExTotal (see attachment 8)
LowEnergyEyTotal (see attachment 9)
Check system wide checks tab: White Rabbit error counter test result: Passed 0, Failed 5 (see attachment 11)
|
Attachment 1: temp-2022-02-17_09-16-02.png
|
|
Attachment 2: leakage-2022-02-17_09-14-47.png
|
|
Attachment 3: XY-Total-2022-02-17_09-30-30.png
|
|
Attachment 4: data-items-2022-02-17_09-24-58.png
|
|
Attachment 5: new-merger-2022-02-17_09-14-00.png
|
|
Attachment 6: correlation-2022-02-17_09-24-05.png
|
|
Attachment 7: good-events-2022-02-17_09-21-48.png
|
|
Attachment 8: lowEnergy-Ex-2022-02-17_09-26-36.png
|
|
Attachment 9: lowEnergy-Ey-2022-02-17_09-27-46.png
|
|
Attachment 10: spectrum-browser-2022-02-17_09-25-45.png
|
|
Attachment 11: IMG_6932.JPG
|
|
202
|
Wed Feb 16 21:41:11 2022 |
JM | Run 43 Alpha Background |
22:41
Check Leakage current: (see attachment 1) = 10.01 uA and falling
Check NewMerger tab: (see attachment 2)
Check Tape Server: (see attachment 3) Writing ~2500 kb/s
Check Fee temperatures: all green
Check statistics tab: ADC data items (see attachment 4) -aida3 and aida4 reading zero. Appears to be low noise as stat histogram shows counts as does Ey and Ex low energy histograms
ADC coincidence-info #8 (see attachment 5) aida1,2,5 correlation #8 signals counted. Do not see aida3 correlation 8 signals. was 70-90 previously (elog201)
Good events (see attachment 6) see 10-20k on aida1-4.
Low energy histograms Ex, Ey (attachemnts 7,8)
Noise appears lower across all fees. Aida1 channels for asic 1 and 3 (noisiest) checked against elog 197, same channels are hot but at lower rate to elog 197.
|
Attachment 1: 2022-02-16_22-45-51-Leakage-Current.png
|
|
Attachment 2: 2022-02-16_22-43-15-NewMerger.png
|
|
Attachment 3: 2022-02-16_22-43-50-TapeServer.png
|
|
Attachment 4: 2022-02-16_22-49-51-ADC-data-items.png
|
|
Attachment 5: 2022-02-16_22-51-40-AIDA-correlation8.png
|
|
Attachment 6: 2022-02-16_22-48-47-GoodEvents.png
|
|
Attachment 7: 2022-02-16_22-52-51-lowenergyEx.png
|
|
Attachment 8: 2022-02-16_22-57-35-lowenergyEy.png
|
|
201
|
Wed Feb 16 21:27:31 2022 |
JM | Cryring signals, Pulser tests, Waveforms |
On 15/2/22 it was noted that the leakage current increases when the motors are moved IN. This is contary to tests conducted on 12/2/22 (elog 192) where no increase was observed.
16/2/22: Servo motor and pneumatic used to move in by different differences with leakage current observed to increase linearly with distance moved in, measured using the potentiometer. (attachment 10)
After each movement the pulser width was measured for each of aida1,2,3,4. No change observed for aida3,4 with pulser width ~220 channels. Pulser width decreased for aida1,2 when moved IN. (attachment 11)
Noise on aida 2,3,4 appeared low throughout tests. Aida1 has a large noise peak when in fully out position. When moved fully in, the noise peak disappears (attachments 1,2)
Cryring signals for ring RF, electron cooler voltage and schottky added to the motors signal and fed into mac b to be read in daq as aida correlation info #8 signal.
cryring bnc 13 - ring rf - aida5 ~ 500,000 rate
cryring bnc 14 - e cooler voltage - aida3 ~ 80 rate
cryring bnc 15 - shottky -aida2 ~2000 rate
cryring bnc 16 motors - aida1 ~3 rate
correlation info #8 appears to be added to the good events rate (attachments 4,5) ADC items (attachment 3)
Waveforms 1.8*W attached for 20us, 200us, 2ms, 20ms |
Attachment 1: 2022-02-16_16-01-32aida1-2-1.8*L-fullyout.png
|
|
Attachment 2: 2022-02-16_15-58-02aida1-2-1.8*L-fullyin.png
|
|
Attachment 3: 2022-02-16_18-10-14-ADCdataItems.png
|
|
Attachment 4: 2022-02-16_18-13-03-aidaCorrelation.png
|
|
Attachment 5: 2022-02-16_18-10-53-goodEvents.png
|
|
Attachment 6: 2022-02-16_18-28-35aida125-1.8*W-20us.png
|
|
Attachment 7: 2022-02-16_18-27-30aida125-1.8*W-200us.png
|
|
Attachment 8: 2022-02-16_18-25-11aida125-1.8*W-2ms.png
|
|
Attachment 9: 2022-02-16_18-23-50aida125-1.8*W-20ms.png
|
|
Attachment 10: leakage-potentiometer.png
|
|
Attachment 11: pulserWidth_potentiometer.png
|
|
200
|
Wed Feb 16 15:27:53 2022 |
EM, JM | Run 41 Pulser tests |
16:30
NOTE: Pulser is ON!
Check Leakage current: (see attachment 1)
Check NewMerger tab: (see attachment 2)
Check Fee temperatures: all green (see attachment 3)
Check statistics tab: ADC data items (see attachment 4)
ADC coincidence-info #8 (see attachment 5)
Good events (see attachment 6)
Check Spectrum Browser tab: (see attachment 7)
Check the following plots: LowEnergyXYRateDSSD0 (see attachment 8)
LowEnergyXYTotalDSSD0 (see attachment 9)
LowEnergyExTotal (see attachment 10)
LowEnergyEyTotal (see attachment 11)
Check system wide checks tab: all okay.
All is good. |
Attachment 1: 2022-02-16_16-05-20_leakagecurrent.png
|
|
Attachment 2: 2022-02-16_16-08-00_newmerger.png
|
|
Attachment 3: 2022-02-16_16-09-05_feetemperatures.png
|
|
Attachment 4: 2022-02-16_16-11-10_aida_adc_data.png
|
|
Attachment 5: 2022-02-16_16-12-20_aida01_goodevents.png
|
|
Attachment 6: 2022-02-16_16-13-29_aida01_correlationinfo.png
|
|
Attachment 7: 2022-02-16_16-16-43_spectrumbrowser.png
|
|
Attachment 8: 2022-02-16_16-21-39_lowenergyXYrate.png
|
|
Attachment 9: 2022-02-16_16-23-56_lowenergyXYtotal.png
|
|
Attachment 10: 2022-02-16_16-25-16_lowenergyEytotal.png
|
|
Attachment 11: 2022-02-16_16-25-53_lowenergyExtotal.png
|
|
199
|
Wed Feb 16 12:43:05 2022 |
TP, RSS | Alpha background run40 |
13:30
Check Leakage current: (see attachment 1)
Check NewMerger tab: (see attachment 2)
Check Fee temperatures: all green (see attachment 3)
Check statistics tab: ADC data items (see attachment 4)
ADC coincidence-info #8 (see attachment 5)
Good events (see attachment 6)
Check Spectrum Browser tab: (see attachment 7)
Check the following plots: LowEnergyXYRateDSSD0 (see attachment 8)
LowEnergyXYTotalDSSD0 (see attachment 9)
LowEnergyExTotal (see attachment 10)
LowEnergyEyTotal (see attachment 11)
Check system wide checks tab: all okay.
All is good. |
Attachment 1: leakage-2022-02-16_13-35-38.png
|
|
Attachment 2: merger-state-2022-02-16_13-35-16.png
|
|
Attachment 3: temperatures-2022-02-16_13-36-05.png
|
|
Attachment 4: aida-adc-data-2022-02-16_13-38-13.png
|
|
Attachment 5: adc-good-events-2022-02-16_13-37-02.png
|
|
Attachment 6: aida-correlation-2022-02-16_13-37-39.png
|
|
Attachment 7: spectrum-browser-2022-02-16_13-38-56.png
|
|
Attachment 8: lowenergy-xy-rate-dssd0-2022-02-16_13-42-12.png
|
|
Attachment 9: lowenergy-xytotal-dssd0-2022-02-16_13-41-31.png
|
|
Attachment 10: lowenergy-ex-total-dsssd0-2022-02-16_13-39-43.png
|
|
Attachment 11: lowenergy-ey-total-dsssd0-2022-02-16_13-40-12.png
|
|
198
|
Wed Feb 16 08:27:27 2022 |
TP, RSS | Alpha background run40 |
09:15
Check Leakage current: (see attachment 1)
Check NewMerger tab: (see attachment 2)
Check Fee temperatures: all green (see attachment 3)
Check statistics tab: ADC data items (see attachment 4)
ADC coincidence-info #8 (see attachment 5)
Good events (see attachment 7)
Check Spectrum Browser tab: (see attachment 6)
Check the following plots: LowEnergyExTotal (see attachment 8)
LowEnergyEyTotal (see attachment 9)
LowEnergyXYRateDSSD0 (see attachment 10)
LowEnergyXYTotalDSSD0 (see attachment 11)
Check system wide checks tab: all okay.
All is good. |
Attachment 1: leakage-2022-02-16_09-15-33.png
|
|
Attachment 2: merger-tab_2022-02-16_09-14-40.png
|
|
Attachment 3: temperatures-2022-02-16_09-16-35.png
|
|
Attachment 4: stats-adc-items-2022-02-16_09-20-16.png
|
|
Attachment 5: aida-correlation-2022-02-16_09-21-16.png
|
|
Attachment 6: spectrum-browser-2022-02-16_09-22-06.png
|
|
Attachment 7: stats-good-event-2022-02-16_09-18-13.png
|
|
Attachment 8: low-enegry-ex-tot-2022-02-16_09-23-25.png
|
|
Attachment 9: low-energy-ey-tot-2022-02-16_09-23-52.png
|
|
Attachment 10: low-energy-xy-rate-dsssd0-2022-02-16_09-26-43.png
|
|
Attachment 11: low-energy-xy-total-dssd0-2022-02-16_09-25-54.png
|
|
197
|
Tue Feb 15 21:28:14 2022 |
JM | Noisy Channels |
All fees and asics checked to find noisy channels. Channels are listed along with the noise peak height for the low energy plot at 22:00. Run started ~17:45.
Attachment 1 shows 3*L for aida01 with x axis zoomed in on noise peak. Attachment 2 shows stat for aida01-04 in log scale.
Aida01
- 1.0L ~3000
- 1.2L ~3500
- 1.7L ~3000
- 1.8L ~2000
- 2.11L ~2000
- 2.12L ~400
- 3.7L ~1000
- 3.10 ~60000
- 3.11L ~2000
- 3.12L ~15000
- 4.12L ~400
- 4.14L ~750
Aida02
- 1.0L ~1000
- 1.3L ~750
- 1.7L ~600
- 1.15L ~600
- 4.15 ~125
Aida03
nothing noisy of note on aida04 |
Attachment 1: 2022-02-15_22-17-51-aida1-3*L.png
|
|
Attachment 2: 2022-02-15_22-23-12-aida1-4-stat-log.png
|
|
196
|
Tue Feb 15 14:59:37 2022 |
JM, CB, RJC | Pulser walkthrough |
16:00
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 2)
Good events (see attachment 3)
ADC coincidence-info #8 (see attachment 4)
Check NewMerger tab: (see attachment 5)
Check Leakage current: (see attachment 6)
Check the following plots: LowEnergyExTotal (see attachment 7)
LowEnergyEyTotal (see attachment 8)
LowEnergyXYTotalDSSD0 (empty.)
Check Spectrum Browser tab: (see attachment 9)
Check system wide checks tab: all okay.
All is good.
17:00
Pulser walkthrough completed on run R38
290
280
270
250
240
230
220
210
210 / 10
230 / 10 (can't see)
210 / 5 (can't see)
190
90
150
130
70
50
10 (can't see)
30
15 (can't see)
Pulser to 70 |
Attachment 1: temp_2022-02-15_11-24-49.png
|
|
Attachment 2: stats_2022-02-15_11-26-15.png
|
|
Attachment 3: good_events_2022-02-15_16-05-29.png
|
|
Attachment 4: correlation_8_2022-02-15_16-06-56.png
|
|
Attachment 5: merger_2022-02-15_16-07-47.png
|
|
Attachment 6: leakage_current2022-02-15_16-08-30.png
|
|
Attachment 7: lowEnergyExTotal_2022-02-15_16-10-38.png
|
|
Attachment 8: lowEnergyEy_2022-02-15_16-11-31.png
|
|
Attachment 9: Spectrum_Browser_tab_2022-02-15_16-20-59.png
|
|
Attachment 10: Walkthrough_0215_1-2.png
|
|
Attachment 11: Walkthrough_1502_3-4.png
|
|
195
|
Tue Feb 15 10:46:37 2022 |
RSS, LV, CB | Alpha background run37 |
11:30
Check Fee temperatures: all green (see attachment 1)
Check statistics tab: ADC data items (see attachment 2)
Good events (see attachment 3)
ADC coincidence-info #8 (see attachment 4)
Check NewMerger tab: (see attachment 5)
Check Leakage current: (see attachment 6)
Check the following plots: LowEnergyExTotal (see attachment 7)
LowEnergyEyTotal (see attachment 8)
LowEnergyXYTotalDSSD0 (see attachment 9)
Check Spectrum Browser tab: (see attachment 10)
Check system wide checks tab: all okay.
All is good. |
Attachment 1: temp_2022-02-15_11-24-49.png
|
|
Attachment 2: stats_2022-02-15_11-26-15.png
|
|
Attachment 3: good_events_2022-02-15_11-29-02.png
|
|
Attachment 4: correlation_8_2022-02-15_11-28-28.png
|
|
Attachment 5: merger_2022-02-15_11-30-38.png
|
|
Attachment 6: leakage_current2022-02-15_11-30-57.png
|
|
Attachment 7: lowEnergyExTotal_2022-02-15_11-35-24.png
|
|
Attachment 8: lowEnergyEy_2022-02-15_11-38-00.png
|
|
Attachment 9: lowEnergyXYTotal_2022-02-15_11-41-42.png
|
|
Attachment 10: spectrum_browser_2022-02-15_11-27-05.png
|
|
194
|
Mon Feb 14 09:56:39 2022 |
CB | Shifters instructions 2022 |
EMERGENCY SHUTDOWNS
1. CARME motors: Q key on keyboard while on workspace 1 of appc218 (right of this double-monitor setup)
2. Internal target: Q on keyboard while on workspace 3 of appc218, go to server room, press "CLOSE" button on DUMP.
CARME DAQ
CARME computer has 6 workspaces (WS), two monitors for each WS.
- WS1 : left monitor - fee power
right monitor - fee power server
- WS2 : left monitor - DAQ controls
right monitor - CAEN bias control
Most important tabs (right hand monitor)
- Run control : shows daq status, stopped / going
- Fee temperatures : temperatures of fees labelled aida01, aida02, aida03, aida04, aida05
- Statistics : shows the statistics for each fee
- System wide checks : checks for the setup
- Spectrum browser : loads histograms for fees and fee channels from preset layouts
- Asic control : sets asic parameters
- WS3 : left monitor - Merge control, tape server
right monitor - servers, data being written
- WS4 : leakage current plot
- WS5 : online data monitoring
- WS6 : browser, elog
- Motors are running on second computer
TO DO FOR THE SHIFTERS - CHECK EVERY HOUR AND POST TO ELOG WITH SCREENSHOTS
-->IMPORTANT NOTE: MIDAS DAQ can respond slowly. DO NOT try to change tab or give new command while the previous one is being executed. Check activity in right-hand part of tab currently in use. Do not double click on buttons.
Motors computer (Computer to the right)
- Check motors cycle is running. The code will cycle through the loaded motors instructions. When an instruction is being done it is highlighted in bold. Check the bold highlighting cycles through entire set of instructions.
- Check potentiometer is reproducing same max/min values for each cycle of instructions. 74.02 fully in, 29.5 fully out.
- Detectors are on LEFT arm. Nothing on RIGHT arm which should not move.
- No need for screenshots, but record in the ELOG you checked this.
Merger, Tape server (WS3)
- Check NewMerger tab. Hit reload button and see if 'current links with data' and 'current merged data rate' are updating. All links should go green, but not necessarily at the same time. Keep hitting refresh until you see all of them going green at least once.
- Data rate should be around 100,000. Take screenshot.
- Check tapeService tab. Hit reload button. Check data rate in Kbytes/sec box. Record data rate to elog. This is where the current run number is displayed. Take screenshot.
- On the right-hand monitor, bottom right terminal, check the current run fragment (sub-run) is being written and is slowly increasing in size. It refreshed automatically every 5 seconds.
Leakage current (WS4)
- Check leakage current plot is stable. Make sure it is updating and time is correct. Take screenshot. Check present values on WS2, CAEN window, channel 1.
DAQ (WS2)
- Check Fee temperatures tab. Hit reload, temperatures will update and should all be green. 1-2 C above safe limit (top) is OK. More than that - warn expert shifter immediately. Take screenshot.
- Check system wide checks tab. Click on 'Check Clock Status', 'Check ADC Calibration' and 'Check the White rabbit decoder status' to run checks. Copy-paste test in the ELOG the first time you do it. If there are no changes throughout the shift, just record no changes.
- Check statistics tab. Hit Update All to see if the counter and rate are updating. Change counter being displayed using drop-down menu on the right hand side of the left monitor.
- Good events - aida 1-4 should have similar counting rates. If any rate is a lot higher than the others, warn expert shifter.
- ADC coincidence-info #8
- Take screenshot of each for the ELOG
- Spectrum browser tab. Check it is displaying aida 1-4 rate (top right of each histogram). IF NOT: select layout 1 from 'layout ID' then restore layout from 'Arrange functions' drop down menu. Select log scale and change xmax as 128. This displays the data rate per strip. If any strips are a lot higher than the others, or very different from previous entry, make a note in the ELOG. Take screenshot.
Online monitoring (WS 5)
- Check the following plots are updating
- LowEnergyXYTotal (right click - col - colz). This shows the total number of events, per pixel, since the code was started / re-started.
- LowEnergyXYRate (right click - col - colz). This shows the rate over the last minute.
- LowEnergyExTotal (double left click. Right click on y axis - Log scale on y). This shows the total energy deposited on all X strips since code restart.
- LowEnergyEyTotal (as above). as above, Y strips.
- Please take screenshot of each
|
Attachment 1: good_events_2022-02-15_09-40-40.png
|
|
Attachment 2: ADC_data_items_2022-02-15_09-42-55.png
|
|
Attachment 3: merger_control_2022-02-15_09-36-06.png
|
|
Attachment 4: leakage_current_2022-02-15_09-37-22.png
|
|
Attachment 5: fee_temperatures_2022-02-15_09-38-36.png
|
|
Attachment 6: spectrum_browser_2022-02-15_09-44-10.png
|
|
Attachment 7: correlation_info8_2022-02-15_09-41-16.png
|
|
Attachment 8: lowEnergyExTotalDSSD0_2022-02-15_09-48-13.png
|
|
Attachment 9: lowEnergyEyTotalDSSD0_2022-02-15_09-47-28.png
|
|
Attachment 10: lowEnergyXYTotalDSSD0_2022-02-15_09-45-35.png
|
|
193
|
Sun Feb 13 21:28:35 2022 |
JM, CB | Alpha background Run 32, 35 |
Alpha background gathered from 17:35 12/2/22 to 13:44 13/2/22 is run32. Run time ~20 hours. Some alphas visible in aida1 1*L histograms attached.
Noticed grounding of crimps that attched to cryring ground has come loose. Those crimps not ideal for our grounding cable so were loose. Grounding cable from each fee now meet at a single grounding cable joined by an m3 nut and bolt. This grounding cable then goes to the cryring ground and is properly secured. See attachment 1. No significant change to pulser widths with new grounding method from previous elog.
Aida5 appeared non-responsive, no good events / data items etc and would not stop when daq was stopped. Fee power cycled. Aida 3 and 5 then showed no data items / good events or any stats. When stopping daq all fees then became non responsive. Full restart initiated, fee power off, all servers incl merger and tape server closed. Restarted system, all fees now responsive, all show full stats and are writing data.
Alpha background started 17:06 13/2/22 to 09:41 14/2/22 is run35. Run time ~16 hours 40 mins.
Ex, Ey 1D histograms for run32 and run35 attached. Bin size 80 keV. |
Attachment 1: 20220213_145309.jpg
|
|
Attachment 2: 2022-02-13_13-34-46aida1-1*Lalpha.png
|
|
Attachment 3: canvasEx-run32.png
|
|
Attachment 4: canvasEy-run32.png
|
|
Attachment 5: canvasEx-run35.png
|
|
Attachment 6: canvasEy-run35.png
|
|
192
|
Sat Feb 12 19:48:38 2022 |
JM, CB | Grounding and movement tests |
> Initial grounding see final grounding from elog 187. ASIC settings for all - LEC slow comparator 0x64. Note on 11/02/22 1.8*L widths with same settings were aida1-4: 300,240,250,260
ADC data items <10k
Good events fluctuate 10k-20k
1.8*L pulser widths aida1-4: 433,400,375,375(double peak)
Attachments 1-4
> New grounding implemented. 3mm grounding cable connect fee body to adaptor board. Each adaptor board is then connected directly to the cryring ground. Attachements 10-11
ADC data items <10k
Good events fluctuate 10k-20k
1.8*L pulser widths aida1-4: 340,288,288,295 (reduced double peak)
Noise in the system also seems to have decreaed in zoomed out 1.8*L plots (not attached). Aida1 still shows large noise peak channel 32000 on 1.8*L. 1.8*W no significant change, perhaps slightly reduced.
Attachements 5-9
Screencaps for following movement tests not taken as 1.8*L and 1.8*W spectra appeared the very similar as 5-9 except for slightly different widths.
> Detectors moved in and out (pneumatic). Leakage current dropped by ~0.2 uA, torque now reads +2.8 previously was ~0.
no change to ADC data items or good events. During movement aida3 saw momentary increase in good events to ~40k before returning to normal range. Rest of fees good events stats unaffected by movement.
1.8*L pulser widths aida1-4: 413,313,295,306
> servo motor (only) moved, torque reduced back to ~0
no change in good events stats for any fees during servo movement.
1.8*L pulser widths aida1-4: 425,335,316,288
> Detector moved to IN position (pnuematic and servo). 19.3mm to 81.8mm on potentiometer.
No change to good events. During movements aida3 saw momentary increase in good events to ~41k, all other fees unaffected.
1.8*L pulser widths aida1-4: 302,221,305,293
Noise peak at channel ~32000 on aida 1 (1.8*L) significantly reduced. little noise on other fees
>Detector moved to fully OUT position (pneumatic and servo).
no change in good events, during movement aida3 saw momentary increase to ~35k
1.8*L pulser widths aida1-4: 450,350,316,290
Noise peak at chn 32000 on aida 1 has increased to previous size. little noise on other fees.
> Bias increased to 120V. Leakage current stable however slightly higher ~0.1 uA
no change in good events.
1.8*L pulser widths aida1-4: 447,345,189,301
> Bias increased to 140V, increase in leakage current ~0.2 uA, appears stable. Increase to 150V, fluctuate in leakage current of +/-0.5uA then huge increase to >20uA trip.
> Fee power cycle. Bias 100V, leakage current 9.9 uA ~0.1-0.2 uA higher than previous but stable.
no change to good events
1.8*L pulser widths aida1-4: 468, 350,311, 296
> Some decrease seen with new grounding, however main source of noise still apparent and any decrease seems insignificant compared to changes due to position movement.
> Water pipes have been zipped tied so they no loger touch motors, no change in pulser widths or noise levels however. |
Attachment 1: 2022-02-12_14-09-31aida1-2-5-1.8*W.png
|
|
Attachment 2: 2022-02-12_14-08-06aida3-4-1.8*W.png
|
|
Attachment 3: 2022-02-12_14-14-13aida1-2-1.8*L.png
|
|
Attachment 4: 2022-02-12_14-15-32aida3-4-1.8*L.png
|
|
Attachment 5: 2022-02-12_15-53-15aida1-2-1.8*W.png
|
|
Attachment 6: 2022-02-12_15-51-47aida3-4-1.8*W.png
|
|
Attachment 7: 2022-02-12_16-02-08aida1-2-1.8*L.png
|
|
Attachment 8: 2022-02-12_16-05-04aida3-4-1.8*L.png
|
|
Attachment 9: 2022-02-12_15-54-34aida1-4-rate.png
|
|
Attachment 10: 20220212_152215.jpg
|
|
Attachment 11: 20220212_171240.jpg
|
|
191
|
Thu Feb 10 09:12:41 2022 |
OH, CB, US | Hourly motor movement |
To test effect of the motors on the leakage current, they were cycled once per hour overnight at approximately 50 minutes past the hour. - attachment 1
Leakgage current was seen to decrease slowly overnight before flattening out.
At 11:25 This morning the FEEs were powered on. From this point on the leakage current was observed to start to increase. - Attachment 2
Note the time recorded by the pi logging the leakage current is approximately 2 minutes ahead of the FEE.
15:40 I notice again that powering on the FEEs causes a momentary increase in the leakage current. - Attachment 3 |
Attachment 1: 220209_1014_leakage.png
|
|
Attachment 2: 220210_1443_BiasFeeOn.png
|
|
190
|
Wed Feb 9 08:01:41 2022 |
OH, CB, G-HC | Results from no beam overnight |
08:52 Overnight the DAQ was left running to check if there was any individual radioactivity in the Si.
This is stored in R7_75
It was observed that even with no beam the detectors continued to increase in leakage current overnight but slowly - Attachment 1
We then stopped the DAQ and gave the detector a single shake.
This caused the leakage currents to decrease but not to the same level that they did last night - Attachment 2
13:45 Bias tripped as people removed the cover on the windows on the interaction chamber
Iset was still at 11uA for safety
Will leave off until they are finished
|
Attachment 1: 220209_0852_leakage.png
|
|
Attachment 2: 220209_0900_leakage_shake.png
|
|
189
|
Tue Feb 8 08:13:53 2022 |
OH, CB, DF | Hopefully effect of beam tuning on detectors |
09:00 Detector still biased to 60V and leakage current has been mostly stable overnight.
Only minor fluctuations observed - attachment 1
FEE temps all ok - attachment 2
Stats at 60V - attachment 3
System wide checks all ok
Increasing bias to 80V
60V 9.45uA
70V 9.7uA
80V 80 9.82uA Still have diode response
10:15 In prep for taking data with beam tuning have tested the merger and tapeserver.
To enable writing to file had to implement the method in https://elog.ph.ed.ac.uk/DESPEC/36
Probably a corrupution caused in the difference between the carme system and the aida system.
Now can write data to file. To limit the data of FEEs 01-04 have set threshold to 0xFF
10:33 Ion source was started this morning but there was no noticable effect on the leakage currents.
10:56 Leakage current is slowly rising continuing the trend at 60V. - Attachment 4
This could just be the temperature shift during the day or linked to the ion source being powered on.
11:18 Pulser peaks for the FEEs using layout 3 and 4.
Layout 3 - attachment 5
Layout 4 - attachment 6
The pulser peaks appear to be asymetric and in FEE 7 we are observing a double peak.
Will check the circuit when we next have access.
11:23 Have started writing data to disk in advance of them putting beam into the ring.
Writing to R4_8 at around 1.8MB/s. N.B. TapeServer shows the same behavior as AIDA with writing nothing to files R4_0->R4_7
FEE 01-04 slow comp 0xFF
FEE 05-08 slow comp 0x64
Pulser running at 50Hz or so
14:50 Having been writing to DAQ since lunch.
Leakage currents were mostly stable but we are now seeing an increase in leakage currents at a much greater rate - attachment 7
14:58 Attachment8 Updated leakage currents.
15:00 Due to the rapidly increasing bias we asked them to stop the beam.
Motors were then cycled but we did not notice the leakage coming down.
Bias was turned off and then motors cycled again.
Bias was then set to 60V - Run R5
Bias raised to 80V - R6
Carlo requested we stop writing data to disk
16:25 Stopped writing to disk. Will retry bias at 80V and monitor.
18:13 Motors cycled before leaving for night no beam in ring - attachment 9
to test if the increase is beam related we will leave at 80V overnight with the beam blocked all night.
To avoid the rapidly increasing leakage current the iSet on the CAEN module is at 11uA with a trip time of 2s.
|
Attachment 1: 2022-02-08-09-15-48-BiasOvernight.png
|
|
Attachment 2: 2022-02-08-09-25-23_FEE_TEMP.png
|
|
Attachment 3: 220208_0926_Stats.png
|
|
Attachment 4: 220208_1056_Bias.png
|
|
Attachment 5: 220208_1118_Layout3.png
|
|
Attachment 6: 220208_1118_Layout4.png
|
|
Attachment 7: 220208_1435_Bias.png
|
|
Attachment 8: 220208_1458_Bias.png
|
|
Attachment 9: 220208_1824_Bias_shake.png
|
|
188
|
Mon Feb 7 08:09:50 2022 |
OH, CB and PH | CARME Server setup and initial tests |
09:09 Arrived at GSI for comissioning beamtime.
CARME currently in a stopped state, with all FEEs powered off.
Upper detector is biased with 80V and has a leakage current of 9.92uA.
This is up on the previous recording by JM of 5.4uA recorded in elog 187.
It is possible light is reaching the detector via the interaction chamber which shall be checked.
09:19 Cave checked:
Water is running to the FEEs (OK for power on when required)
There are 4 uncovered windows on the interaction chamber. Will see if we can get these covered.
14:29 Tested different bias voltages.
Between 80V and 120V got a normal diode response with small increases in leakage current
At 120V leakage current was stable at 9.92 for one minute.
Checked waveforms on DAQ and looked at current again and it was up to 16uA.
Turned off bias and let ramp down.
Now when trying to ramp up detector in similar position to last week with a diode response between 0-30V and a rapid increase above that.
Carlo spoke to Gleb who was beam tuning. Which around the time of our trip he opened the valves and put beam into the ring for a rotation.
Gleb put in several faraday cups prior to the CARME chamber yet we still see the non-diode bias characteristics.
He will enter in 30 minutes and we will check if anything looks out of place. Will also remove motor pin to give option of movement.
15:00 Gleb and Carlo enters the cave.
Dipole and beam off.
Pin removed.
Diode response restored 9.4uA at 40V. Which is only slightly above where it was during the morning
Before they got anywhere with starting again the current has gone up again..
16:49 Since around 15:30 the current has come down to its levels earlier today at 40V. We are preparing a script to monitor the current levels going forward.
17:00 Gleb stopped tuning the beam for the day.
There is no beam in the ring.
Magnets and everything else continue as if there was beam in the ring.
19:40 Attempted to raise bias to 50. Immediately started to trip
Carlo cycled motors multiple times.
Bias in out position dropped.
To lower
Have made it to 60V. Will leave there overnight with leakage current tracking running.
No beam so no daq running. |
187
|
Fri Feb 4 12:49:54 2022 |
TD, JM | Grounding Tests and current status |
JM plans to install new crimped, 3mm dia copper drain wires (a la AIDA@DESPEC) this afternoon.
Initial Grounding - Lemo cables connecting adaptor cards ground in daisy chain. Fee bodies connected by 3mm copper drain wires in daisy chain. Two chains brought together
at final adaptor card which is connected to cryring ground.
Attachments 1-5
ADC data item rates all <10k
ASIC settings all LEC slow comparators 0x64
1.8.L spectra aida05-08 widths 556, 511, ?, 570 ch FWHM respectively
1.8.W spectra 20us FSR
Adaptor card grounding image
1.8L widths appear broader than previous day of ~300 width. Reason unknown, no change made to setup as yet.
Second Grounding - 3mm copper drain wires connect each adaptor card to Fee body. Fee bodies daisy chained together using 3mm copper drain wire and connected to cryring
ground.
ADC data item rates all <10k
ASIC settings all LEC slow comparators 0x64
Attachments 6-8
1.8.L spectra aida05-06 widths 620, 550 ch FWHM respectively, 1.1.L aida08 width 350 ch FWHM
1.8.W spectra 20us FSR. Some extra high frequency noise
Adaptor card grounding
Third Grounding - 3mm copper drain wires connect adaptor cards in daisy chains. Fee bodies daisy chained together using 3mm copper drain wire. Two chains brought
together and connected to cryring ground.
ADC data item rates all <10k
ASIC settings all LEC slow comparators 0x64
1.8.L spectra aida05-08 similar to initial grounding ~500 ch FWHM
Attachments 9-11
1.8.W spectra 20us FSR.
AIDA5-8 channel rates. Rates dominated by noisy strips. Missing strips come and go as ASIC settings checked.
Adaptor card grounding
Some work still required to reduce noise in the system and reduce pulser widths. Pulser should also be checked on scope
Gauge turned back ON. Bias 80V, 3.5 uA before. Bias 80V, 5.4 uA after. Bias turned off and on again. NO change to diode characteristic, current ramps high before coming
down once bias on. Bias left ON 80V to see if stable when second gauge turned on and valves reopened. Pulser ON. Fee power OFF. Water cooling ON.
No significant changes to waveforms, pulser width or rates with gauge ON. Other noise contributions probably outweigh effect of the gauge at this point.
3mm copper grounding cable and attachments, crimper and Yorkshire tea left in control room. |
Attachment 1: Screenshot_from_2022-02-04_13-37-54.png
|
|
Attachment 2: Screenshot_from_2022-02-04_13-38-14.png
|
|
Attachment 3: Screenshot_from_2022-02-04_13-43-30.png
|
|
Attachment 4: Screenshot_from_2022-02-04_13-48-28.png
|
|
Attachment 5: 20220203_100719.jpg
|
|
Attachment 6: 2022-02-04_15-03-391.8*L.png
|
|
Attachment 7: 2022-02-04_14-57-50aida8-1.8*W.png
|
|
Attachment 8: 2022-02-04_16-13-39-1*W.png
|
|
Attachment 9: 2022-02-04_16-01-49rateAIDA5-8.png
|
|
Attachment 10: 20220204_154113.jpg
|
|
186
|
Fri Feb 4 09:53:48 2022 |
JM, TD, OH (remotely) | Alpha spectra overnight |
DAQ was left running last night from 19:30. Detector bias 80V with a leakage current ~3.4 uA. Pulser OFF.
Observed alphas in spectra overnightin the 20,000 to 28,000 channel range for the pn side and 36,000 to 44,000 range on the nn side of the detector. Zero energy noise peak is at channel 32000.
Alphas checked for AIDA5 and AIDA6 (pn) with ~150 alphas counted using a range 20,000-26,000/27,000 depending how large the noise peak tail is. Attached spectra show the spectra for the 4 ASICs of AIDA5 and 6. |
Attachment 1: 2022-02-04_10-04-38aida5-1*L.png
|
|
Attachment 2: 2022-02-04_10-08-31aida5-2*L.png
|
|
Attachment 3: 2022-02-04_10-11-34aida5-3*L.png
|
|
Attachment 4: 2022-02-04_10-15-50aida5-4*L.png
|
|
Attachment 5: 2022-02-04_10-46-33aida6-2*L.png
|
|
Attachment 6: 2022-02-04_10-44-10aida6-1*L.png
|
|
Attachment 7: 2022-02-04_10-48-33aida6-3*L.png
|
|
Attachment 8: 2022-02-04_10-49-52aida6-4*L.png
|
|
185
|
Thu Feb 3 22:05:33 2022 |
JM, TD, OH(remotely) | Bias update and grounding |
9:30 Bias remained stable overnight - 60V 2.95 uA.
10:00 No grounding attached initailly. Pulser ON, lots of noise across all ~250-300 kHz across biased detector. Waveforms and histograms saved to /tmp. Pulser width for aida 6 ~300 channels. Aida 7,8 similar, aida 5 producing no ADC data.
11:00 Grounding connected as in elog 181. Rates decreased to ~180-250 kHz. Waveforms and histograms saved to /tmp.
12:00 Bias slowly increased too 100V giving a leakage current of ~3.5 uA which was stable for several hours. Bias varied between 60V and 100V for noise reduction perposes, 80V deemed least noisy at the time, noise still ~180-200 kHz
13:30 New grounding trialled. Bottom detector removed from pulser and grounding loops so that only the biased detector is grounded. Bias set to 80V
14:00 Rates significantly reduced for aida 6,7,8 - aida5 still producing no ADC data. Rates are in range 15-50 kHZ with noisy channels accounting for most of the rates. Waveforms and histograms saved to /tmp. Pulser peak on aida 6 ~300 channels.
16:00 Aida 5 fee investigated to reason it is not producing data. FEE was not properly connected to ERNI connector due to incorrect installation of black adaptor card mount. Adaptor card mount reinstalled and fee remounted. Aida 5 now produces ADC with similar rates to aida6,7,8.
During investigation of aida5, aida4 and 5 were swapped. Config files relating to MAC addresses, polarity etc of FEES has been updated. Elog 175 will be appended to include this swap.
19:00 Bias set to 80V, DAQ running with pulser off to allow for alpha detection overnight. |
184
|
Wed Feb 2 21:53:32 2022 |
JM, TD | Detector Bias |
FEE mounts for 5,6,7,8 disassembled to access adaptor boards. No sign of debris which could cause short on adaptor boards.
Adaptor boards removed and checked against spares with multimeter. Both show same characteristics. Pins on feedthrough checked using multimeter. No longer see -18.5 KOhm between bias pins, no see 140 kOhm which is similar to bias pin to non bias pin from prevous tests.
Camera on interaction chamber removed and replaced with foil, no change.
Ion gauge (Edinburgh group) turned ON
Decided to move detectors using motors. Movement caused change in bias characteristic of the detector. Initial movement was 50 repetitions in and out over which the bias was increased from 10V to 140V. Over this range the detector exhibited diode like behaviour. The difference between the in and position and out position caused a 0.5 uA difference in leakage current. Once stationary, the current was stabel at 140V but after 20s current increased rapidly and tripped.
Increasing bias again to 30V while stationary (out position on motors) gave 7.3 uA , going to 60V tripped again.
Moving the detectors IN but keeping stationary gave a stable current at 30V of 4.2 uA increasing to 70V tripped again. Turning off the gauge reduced the current at 30V to 2.9 uA and 70V to be be ~15.5 uA. Opening an optical window at 70V saw no change in leakage current.
Possibility thermocouple attached to or around the detector may have come loose within the chamber? Thermocouples outisde of chamber removed from thermocouple flange so they don't interact with outside of chamber.
Detector biased to 60V - 10 uA. Detector position moved in and out 20 repetions, during movement current dropped to 2.85 uA. After motion stopped leakage current remained stable at 2.85 uA. Optical window opened no change. Torch light shone into optical window - increase in leakage current to 3.5 uA. Torch off - return to 2.85 uA.
Motion started again for 50 repetitions to test stability of leakage current. Current varies between 2.84-2.88 uA during movement, settles to 2.85 uA once motion stopped.
FEE holder reassembley. Involved turning off bias, unplugging bias cables and rebuilding assembley. FEE holders reaasembled with FEEs reconnected. Water cables, HDMI, ethernet, power connected. Bias turned off and on during reassembley multiple times to check for changes. Each time bias at 60V remained 2.85uA. Each time lower voltages appear to show diode like response in leakage current output.
Pulser connected and FEEs turned back on. Aida05 appears not producing ADC data rates 8 kHz on statistics page -investigate further. Aida06 rate ~70 kHZ can see pulser. Aida07, 08 nn bias show rates ~300 kHZ possible not enough bias for nn side. Rate for all FEEs yesterday (unbiased) was 200-300 kHZ except for AIDA03 disconnected and AIDA02 which wasn't producing ADC data. Photo of Aida06 spectra attached (log scale for pulser).
FEEs turned OFF. Bias left on 60V - 2.85 uA see if stable overnight, stable for approx 2.5 hours before leaving cryring. Detector moved to OUT position, pin back in.
To do - Grounding, reduce noise, waveforms, investigate AIDA05, how high can we bias? |
Attachment 1: 20220202_110757.jpg
|
|
Attachment 2: 20220202_193636.jpg
|
|
Attachment 3: 20220202_193651.jpg
|
|
Attachment 4: motion.png
|
|
183
|
Tue Feb 1 14:43:20 2022 |
JM, TD | Detector Bias, FEEs |
Detector is not biasing as it has in previous tests. Last bias 15/1/22 see previous elogs, max leakage current ~10 uA at 150V
Detector bias increases well above 10uA for voltages as low as 30-40V. Optical windows covered, Y09 valves closed, large ion pump OFF and both ion gauges OFF produces similar results but with a slightly lower leakage current.
Grounding and pulser cables removed from FEEs and jumper attached to nn bias adaptor card - no change. Bias module swapped out - no effect. NIM rack shows expected voltages (6,12,24) on multimeter.
AIDA03 - disconnected. Behaves as expected. Get waveforms and pulser peak. Pulser peak FWHM ~ 70 channels, noise transients observed.
AIDA02 - Not producing ADC data
AIDA01,04,05,06,07,08 - Very high rates - noisy
|
Attachment 1: 22-02-01_15-03-56_aida03pulserADCspectra.png
|
|
Attachment 2: 2022-02-01_15-14-07_aida03_pulser_DAQserver.png
|
|
Attachment 3: 2022-02-01_15-22-23aida03_waveforms_pulser.png
|
|
Attachment 4: 2022-02-01_15-28-45aida03_waveforms_pulser2.png
|
|
Attachment 5: 2022-02-01_15-54-57rates_aidaALL.png
|
|
182
|
Mon Jan 31 08:58:38 2022 |
OH, TD, JM | centos server configuration |
Steps taken:
- MIDAS_Releases, Embedded and Exports files brought across from the previously working SL6 aida-gsi install. Brought as tar balls to preserve ownership
- dhcpd.conf set up with same IP addresses as used on the SL6 install from aida-gsi
- nfs-utils package installed on centos7
- Paths to directories listed in /etc/exports checked that they point to the correct locations on the centos system
- Firewall disabled to confirm that it is not interfering
- setenforce 0 to confirm selinux is not interfering.
- Attachment 1 Screenshot of FEE console for aida04 as it stops booting.
- Attachment 2 text dump of /var/log/messages during the FEE boot sequence
- Attachment 3 text dump from tcpdump filtered to aida04
- Attachment 4 /var/lib/dhcp/dhcp.leases file as mentioned in the /var/log/messages dump
- Attachment 5 A copy of dhcpd.conf
- Attachment 6 A copy of /etc/hosts
- Attachment 7 A copy of /etc/exports
- Attachment 8 Text dump of ifconfig -a (Note the FEEs are conencted to p4p1)
From the messages dump it seems there is an issue being encountered with dhcp leases for the static IP addresses of the FEEs. They are then getting assigned new IP addresses which are getting put in the leases file. |
Attachment 1: 220131_0950_FEE_CONSOLE.png
|
|
Attachment 2: 220131_0951_logmessages.txt
|
an 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPOFFER on 192.168.11.3 to d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.3 (192.168.11.99) from d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.3 (192.168.11.99) from d8:80:39:41:d0:05 via p4p1: unknown lease 192.168.11.3.
Jan 31 09:48:40 carme-gsi dhcpd: DHCPACK on 192.168.11.3 to d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPOFFER on 192.168.11.5 to d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPOFFER on 192.168.11.8 to d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.5 (192.168.11.99) from d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPACK on 192.168.11.5 to d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.5 (192.168.11.99) from d8:80:39:41:b4:0b via p4p1: unknown lease 192.168.11.5.
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.8 (192.168.11.99) from d8:80:39:41:d7:cd via p4p1: unknown lease 192.168.11.8.
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.8 (192.168.11.99) from d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPACK on 192.168.11.8 to d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPOFFER on 192.168.11.6 to d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.6 (192.168.11.99) from d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:40 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.6 (192.168.11.99) from d8:80:39:41:d8:20 via p4p1: unknown lease 192.168.11.6.
Jan 31 09:48:40 carme-gsi dhcpd: DHCPACK on 192.168.11.6 to d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.4 to d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.4 (192.168.11.99) from d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.4 (192.168.11.99) from d8:80:39:41:d7:c2 via p4p1: unknown lease 192.168.11.4.
Jan 31 09:48:41 carme-gsi dhcpd: DHCPACK on 192.168.11.4 to d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.7 to d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.7 (192.168.11.99) from d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.7 (192.168.11.99) from d8:80:39:41:b4:16 via p4p1: unknown lease 192.168.11.7.
Jan 31 09:48:41 carme-gsi dhcpd: DHCPACK on 192.168.11.7 to d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d8:2b via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPDISCOVER from d8:80:39:41:d8:2b via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.2 to d8:80:39:41:d8:2b via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.2 (192.168.11.99) from d8:80:39:41:d8:2b via p4p1: unknown lease 192.168.11.2.
Jan 31 09:48:41 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.2 (192.168.11.99) from d8:80:39:41:d8:2b via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPACK on 192.168.11.2 to d8:80:39:41:d8:2b via p4p1
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.3:956 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida03 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.8:675 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida08 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.5:907 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida05 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.6:883 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.4:985 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida04 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.7:682 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida07 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi rpc.mountd[79344]: authenticated mount request from 192.168.11.2:707 for /home/Embedded/XilinxLinux/ppc_4xx/rfs/aida02 (/home/Embedded/XilinxLinux/ppc_4xx/rfs)
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.121 to d8:80:39:41:d0:05 via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.122 to d8:80:39:41:b4:0b via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.120 to d8:80:39:41:d7:cd via p4p1
Jan 31 09:48:41 carme-gsi dhcpd: DHCPOFFER on 192.168.11.118 to d8:80:39:41:d8:20 via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPOFFER on 192.168.11.119 to d8:80:39:41:d7:c2 via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPOFFER on 192.168.11.123 to d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPOFFER on 192.168.11.123 to d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: Can't create new lease file: Permission denied
Jan 31 09:48:42 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.123 (192.168.11.99) from d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPACK on 192.168.11.123 to d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: Wrote 0 deleted host decls to leases file.
Jan 31 09:48:42 carme-gsi dhcpd: Wrote 0 new dynamic host decls to leases file.
Jan 31 09:48:42 carme-gsi dhcpd: Wrote 8 leases to leases file.
Jan 31 09:48:42 carme-gsi dhcpd: DHCPREQUEST for 192.168.11.123 (192.168.11.99) from d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPACK on 192.168.11.123 to d8:80:39:42:0d:0c via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPOFFER on 192.168.11.124 to d8:80:39:41:b4:16 via p4p1
Jan 31 09:48:42 carme-gsi dhcpd: DHCPOFFER on 192.168.11.125 to d8:80:39:41:d8:2b via p4p1
|
Attachment 3: 220131_0952_tcpdump.txt
|
[root@carme-gsi MIDAS]# tcpdump host aida04 -vvv -s 192 -i p4p1
tcpdump: listening on p4p1, link-type EN10MB (Ethernet), capture size 192 bytes
09:48:41.015348 IP (tos 0x10, ttl 128, id 0, offset 0, flags [none], proto UDP (17), length 382)
carme-gsi.bootps > aida04.bootpc: BOOTP/DHCP, Reply, length 354, xid 0x37935d60, Flags [none] (0x0000)
Your-IP aida04
Server-IP carme-gsi
Client-Ethernet-Address d8:80:39:41:d7:c2 (oui Unknown)
sname "192.168.11.99"[|bootp]
09:48:41.020188 IP (tos 0x10, ttl 128, id 0, offset 0, flags [none], proto UDP (17), length 382)
carme-gsi.bootps > aida04.bootpc: BOOTP/DHCP, Reply, length 354, xid 0x37935d60, Flags [none] (0x0000)
Your-IP aida04
Server-IP carme-gsi
Client-Ethernet-Address d8:80:39:41:d7:c2 (oui Unknown)
sname "192.168.11.99"[|bootp]
09:48:41.447747 ARP, Ethernet (len 6), IPv4 (len 4), Request who-has carme-gsi tell aida04, length 46
09:48:41.447758 ARP, Ethernet (len 6), IPv4 (len 4), Reply carme-gsi is-at 00:e0:4c:a1:16:af (oui Unknown), length 28
09:48:41.450602 IP (tos 0x0, ttl 64, id 0, offset 0, flags [DF], proto UDP (17), length 112)
aida04.38162 > carme-gsi.sunrpc: [udp sum ok] UDP, length 84
09:48:41.450809 IP (tos 0x0, ttl 64, id 1841, offset 0, flags [DF], proto UDP (17), length 56)
carme-gsi.sunrpc > aida04.38162: [udp sum ok] UDP, length 28
09:48:41.505482 IP (tos 0x0, ttl 64, id 0, offset 0, flags [DF], proto UDP (17), length 112)
aida04.59139 > carme-gsi.sunrpc: [udp sum ok] UDP, length 84
09:48:41.505648 IP (tos 0x0, ttl 64, id 1895, offset 0, flags [DF], proto UDP (17), length 56)
carme-gsi.sunrpc > aida04.59139: [udp sum ok] UDP, length 28
09:48:41.508775 IP (tos 0x0, ttl 64, id 0, offset 0, flags [DF], proto UDP (17), length 68)
aida04.985 > carme-gsi.mountd: [udp sum ok] UDP, length 40
09:48:41.508921 IP (tos 0x0, ttl 64, id 1896, offset 0, flags [DF], proto UDP (17), length 52)
carme-gsi.mountd > aida04.985: [udp sum ok] UDP, length 24
09:48:41.511947 IP (tos 0x0, ttl 64, id 0, offset 0, flags [DF], proto UDP (17), length 148)
aida04.985 > carme-gsi.mountd: [udp sum ok] UDP, length 120
09:48:41.512632 IP (tos 0x0, ttl 64, id 1898, offset 0, flags [DF], proto UDP (17), length 88)
carme-gsi.mountd > aida04.985: [udp sum ok] UDP, length 60
09:48:41.516436 IP (tos 0x0, ttl 64, id 0, offset 0, flags [DF], proto UDP (17), length 68)
aida04.1004 > carme-gsi.nfs: NFS request xid 1193702076 40 null
09:48:41.516487 IP (tos 0x0, ttl 64, id 1902, offset 0, flags [DF], proto UDP (17), length 60)
carme-gsi.nfs > aida04.1004: NFS reply xid 1193702076 reply ok 32 null
09:48:46.461884 ARP, Ethernet (len 6), IPv4 (len 4), Request who-has aida04 tell carme-gsi, length 28
09:48:46.464693 ARP, Ethernet (len 6), IPv4 (len 4), Reply aida04 is-at d8:80:39:41:d7:c2 (oui Unknown), length 46
|
Attachment 4: 220131_0953_dhcpdleases.txt
|
# The format of this file is documented in the dhcpd.leases(5) manual page.
# This lease file was written by isc-dhcp-4.2.5
lease 192.168.11.118 {
starts 1 2022/01/31 08:48:40;
ends 1 2022/01/31 08:50:40;
cltt 1 2022/01/31 08:48:40;
binding state free;
hardware ethernet d8:80:39:41:d8:20;
}
lease 192.168.11.120 {
starts 1 2022/01/31 08:48:40;
ends 1 2022/01/31 08:50:40;
cltt 1 2022/01/31 08:48:40;
binding state free;
hardware ethernet d8:80:39:41:d7:cd;
}
lease 192.168.11.122 {
starts 1 2022/01/31 08:48:40;
ends 1 2022/01/31 08:50:40;
cltt 1 2022/01/31 08:48:40;
binding state free;
hardware ethernet d8:80:39:41:b4:0b;
}
lease 192.168.11.121 {
starts 1 2022/01/31 08:48:40;
ends 1 2022/01/31 08:50:40;
cltt 1 2022/01/31 08:48:40;
binding state free;
hardware ethernet d8:80:39:41:d0:05;
}
lease 192.168.11.125 {
starts 1 2022/01/31 08:48:41;
ends 1 2022/01/31 08:50:41;
cltt 1 2022/01/31 08:48:41;
binding state free;
hardware ethernet d8:80:39:41:d8:2b;
}
lease 192.168.11.124 {
starts 1 2022/01/31 08:48:41;
ends 1 2022/01/31 08:50:41;
cltt 1 2022/01/31 08:48:41;
binding state free;
hardware ethernet d8:80:39:41:b4:16;
}
lease 192.168.11.119 {
starts 1 2022/01/31 08:48:41;
ends 1 2022/01/31 08:50:41;
cltt 1 2022/01/31 08:48:41;
binding state free;
hardware ethernet d8:80:39:41:d7:c2;
}
lease 192.168.11.123 {
starts 1 2022/01/31 08:48:42;
ends 1 2022/01/31 20:48:42;
cltt 1 2022/01/31 08:48:42;
binding state active;
next binding state free;
rewind binding state free;
hardware ethernet d8:80:39:42:0d:0c;
}
server-duid "\000\001\000\001)\211c\037\000\340L\241\026\257";
|
Attachment 5: dhcpd.conf
|
#
# DHCP Server Configuration file.
# see /usr/share/doc/dhcp*/dhcpd.conf.example
# see dhcpd.conf(5) man page
#
# 2 days
#default-lease-time 172800;
# 4 days
default-lease-time 345600;
# 8 days
max-lease-time 691200;
option domain-search code 119 = string;
option domain-name "dl.ac.uk";
option domain-name-servers 193.62.115.16, 148.79.80.78;
option netbios-name-servers 148.79.160.89;
option netbios-node-type 8;
option nis-domain "nuclear.physics";
option nis-servers 193.62.115.77;
subnet 192.168.11.0 netmask 255.255.255.0 {
option subnet-mask 255.255.255.0;
option broadcast-address 192.168.11.255;
pool {
range 192.168.11.118 192.168.11.199;
}
}
group {
use-host-decl-names true;
default-lease-time 3600;
max-lease-time 14400;
server-name "192.168.11.99";
next-server 192.168.11.99;
host nnrpi1 {
hardware ethernet dc:a6:32:1d:21:db;
fixed-address 192.168.11.251;
}
host nnrpi2 {
hardware ethernet b8:27:eb:40:53:e8;
fixed-address 192.168.11.117;
}
host aida01 {
hardware ethernet d8:80:39:42:02:0c;
fixed-address 192.168.11.1;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida01";
}
host aida02 {
hardware ethernet d8:80:39:41:d8:2b;
fixed-address 192.168.11.2;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida02";
}
host aida03 {
hardware ethernet d8:80:39:41:d0:05;
fixed-address 192.168.11.3;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida03";
}
host aida04 {
hardware ethernet d8:80:39:41:d7:c2;
fixed-address 192.168.11.4;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida04";
}
host aida05 {
hardware ethernet d8:80:39:41:b4:0b;
fixed-address 192.168.11.5;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida05";
}
host aida06 {
hardware ethernet d8:80:39:41:d8:20;
fixed-address 192.168.11.6;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida06";
}
host aida07 {
hardware ethernet d8:80:39:41:b4:16;
fixed-address 192.168.11.7;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida07";
}
host aida08 {
hardware ethernet d8:80:39:41:d7:cd;
fixed-address 192.168.11.8;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida08";
}
host aida09 {
hardware ethernet d8:80:39:41:f6:ee;
fixed-address 192.168.11.9;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida09";
}
host aida10 {
hardware ethernet d8:80:39:41:ba:89;
fixed-address 192.168.11.10;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida10";
}
host aida11 {
hardware ethernet d8:80:39:41:f6:5a;
fixed-address 192.168.11.11;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida11";
}
host aida12 {
hardware ethernet d8:80:39:41:cf:ac;
fixed-address 192.168.11.12;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida12";
}
host aida13 {
hardware ethernet d8:80:39:42:d:15;
fixed-address 192.168.11.13;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida13";
}
host aida14 {
hardware ethernet d8:80:39:42:d:b;
fixed-address 192.168.11.14;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida14";
}
host aida15 {
hardware ethernet d8:80:39:41:ee:10;
fixed-address 192.168.11.15;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida15";
}
host aida16 {
hardware ethernet d8:80:39:41:f6:ed;
fixed-address 192.168.11.16;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida16";
}
}
subnet 192.168.12.0 netmask 255.255.255.0 {
option subnet-mask 255.255.255.0;
option broadcast-address 192.168.12.255;
pool {
range 192.168.12.100 192.168.12.199;
}
}
group {
use-host-decl-names true;
default-lease-time 3600;
max-lease-time 14400;
server-name "192.168.12.99";
next-server 192.168.12.99;
host aida21 {
hardware ethernet d8:80:39:41:d8:2a;
fixed-address 192.168.12.1;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida21";
}
host aida22 {
hardware ethernet 00:04:a3:2a:d0:26;
fixed-address 192.168.12.2;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida22";
}
host aida23 {
hardware ethernet 00:04:a3:2b:09:ce;
fixed-address 192.168.12.3;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida23";
}
host aida24 {
hardware ethernet 00:04:a3:2b:09:e8;
fixed-address 192.168.12.4;
option root-path "/home/Embedded/XilinxLinux/ppc_4xx/rfs/aida24";
}
}
|
Attachment 6: hosts.txt
|
127.0.0.1 localhost localhost.localdomain localhost4 localhost4.localdomain4
::1 localhost localhost.localdomain localhost6 localhost6.localdomain6
192.168.11.251 nnrpi1
192.168.11.1 aida01
192.168.11.2 aida02
192.168.11.3 aida03
192.168.11.4 aida04
192.168.11.5 aida05
192.168.11.6 aida06
192.168.11.7 aida07
192.168.11.8 aida08
|
Attachment 7: exports.txt
|
/home/Embedded/XilinxLinux/ppc_4xx/rfs 192.168.0.0/255.255.0.0(rw,sync,nohide,no_root_squash)
/home/npg/MIDAS_Releases/23Jan19/MIDAS_200119 192.168.0.0/255.255.0.0(rw,sync,nohide)
/MIDAS_130718 192.168.0.0/255.255.0.0(rw,sync,nohide)
|
Attachment 8: ifconfig.txt
|
enp0s31f6: flags=4099<UP,BROADCAST,MULTICAST> mtu 1500
ether a4:bb:6d:d1:81:9a txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
device interrupt 16 memory 0x90300000-90320000
enp2s0: flags=4163<UP,BROADCAST,RUNNING,MULTICAST> mtu 1500
inet 192.168.207.142 netmask 255.255.255.0 broadcast 192.168.207.255
inet6 fd43:525a:5249:4e47:d923:1a54:9ea8:e9b1 prefixlen 64 scopeid 0x0<global>
inet6 fe80::4194:b06d:5173:1c42 prefixlen 64 scopeid 0x20<link>
ether a4:bb:6d:d1:7f:ca txqueuelen 1000 (Ethernet)
RX packets 15104702 bytes 22030764707 (20.5 GiB)
RX errors 0 dropped 29 overruns 0 frame 0
TX packets 2199234 bytes 424845717 (405.1 MiB)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
device memory 0x90100000-9017ffff
lo: flags=73<UP,LOOPBACK,RUNNING> mtu 65536
inet 127.0.0.1 netmask 255.0.0.0
inet6 ::1 prefixlen 128 scopeid 0x10<host>
loop txqueuelen 1000 (Local Loopback)
RX packets 1157441 bytes 870407343 (830.0 MiB)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 1157441 bytes 870407343 (830.0 MiB)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
p4p1: flags=4163<UP,BROADCAST,RUNNING,MULTICAST> mtu 1500
inet 192.168.11.99 netmask 255.255.255.0 broadcast 192.168.11.255
inet6 fe80::74a5:671:a523:2bc5 prefixlen 64 scopeid 0x20<link>
ether 00:e0:4c:a1:16:af txqueuelen 1000 (Ethernet)
RX packets 2283907 bytes 3266470097 (3.0 GiB)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 256271 bytes 19134505 (18.2 MiB)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
p4p2: flags=4099<UP,BROADCAST,MULTICAST> mtu 1500
ether 00:e0:4c:a1:16:b0 txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
p4p3: flags=4099<UP,BROADCAST,MULTICAST> mtu 1500
ether 00:e0:4c:a1:16:b1 txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
p4p4: flags=4099<UP,BROADCAST,MULTICAST> mtu 1500
ether 00:e0:4c:a1:16:b2 txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
virbr0: flags=4099<UP,BROADCAST,MULTICAST> mtu 1500
inet 192.168.122.1 netmask 255.255.255.0 broadcast 192.168.122.255
ether 52:54:00:9c:18:b1 txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
virbr0-nic: flags=4098<BROADCAST,MULTICAST> mtu 1500
ether 52:54:00:9c:18:b1 txqueuelen 1000 (Ethernet)
RX packets 0 bytes 0 (0.0 B)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 0 bytes 0 (0.0 B)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
|
181
|
Sat Jan 29 11:04:07 2022 |
JM, OH | Grounding and Pulser |
Grounding
Ground cables have been daisy chained together from FEE to FEE. Ground cables are screwed into a feee bolt hole on the FEE body using an M3 bolt.
LEMO cables have been connected in a similar way between the grounds on the adaptor boards for each FEE. Short LEMO cables go between J6 on pairs of adaptor boards in the same FEE holder and long LEMO cables go between J7 on adaptor boards in different FEE holders.
The LEMO grounds from the adaptor boards and the ground cables attached to the FEE bodies are then connected together and then connected to the CRYRING grounding cable.
Pulser
Jan has kindly lent us a pulser. We send the pulser to an inverter giving us a +ve and -ve signal.
The +ve signal goes into the pn FEEs (1,2,5,6)
The -ve signal goes into the nn FEEs (3,4,78)
LEMO cable connecting FEEs in the same holder using J3 on adaptor board. J4 is used for recieving the pulse signal, connecting FEEs in different holders or terminating the signal. |
Attachment 1: Silkscreen_Top.pdf
|
|
180
|
Thu Jan 27 21:33:40 2022 |
JM, OH | AIDA config files, remote access, motor test |
Power, Ethernet and HDMI cables connected to FEEs. To prevent cables coming out of connectors, power and HDMI cables are zip tied to FEE cooling pipe (see attached image).
Correct FEE MAC addresses have been updated in /etc/dhcp/dhcpd.conf
Remote access to carme sever has been setup. Remote access instructions in elogs 176-179.
New ASIC settings which reflect polarity of FEES produced 2022Jan27-16-43-00
New Options file updated to point to new ASIC settings.
/MIDAS/config/TclHttpd/carme-gsi@8015/startup.tcl updated for 8 FEEs
/MIDAS/Linux/startup/NewMerger Updated line from "./master64 -i 16 -l 16 -p 11001 &" to "./master64 -i 8 -l 16 -p 11001 &"
Quick motors test performed. Motors accesible remotely (elog 179). Motors moving output (BNC 1 on motors box) connected to motors module (BNC 1). When not moving +12V is observed at BNC 2 on motors module. When moving 0V is observed on BNC 2. |
Attachment 1: 20220127_101513.jpg
|
|
179
|
Thu Jan 27 15:24:02 2022 |
OH, JM | Access to Motors PI |
1. ssh <user>@lxpool.gsi.de
2. ssh <user or carme>@atppc022.gsi.de
3. ssh pi@CARMEmotorspi (Standard npg password) |
177
|
Thu Jan 27 15:19:18 2022 |
OH, JM | Enabling internet access on the carme-gsi server |
To use both anydesk and firefox on the carme server require an internet connection.
As the server is on the cryring local network an ssh tunnel needs to be opened to the GSI proxy server.
To do this: ssh -L 8080:proxy.gsi.de:8080 carme@atppc025` and leave terminal open and running.
Both anydesk and firefox have been configured to use the proxy localhost:8080
For the carme password ask J. Marsh or C. Bruno |
176
|
Thu Jan 27 14:04:34 2022 |
OH, JM | Remote access to CARME server |
1. ssh into lxpool network: ssh <user>@lxpool.gsi.de
2. ssh into atppc022.gsi.de: ssh carme@atppc022.gsi.de (This is an rpi access server. Don't run code)
3. ssh into carme server: ssh npg@carme-gsi
For the password to the carme user account on the cryring network please contact O. Hall or J. Marsh. |
175
|
Wed Jan 26 19:16:18 2022 |
JM, OH | Water cooling, FEE MAC address |
Water cooling has been connected to the FEE's. Flow meter installed to outlet manifold by way of two right angled pieces. No leaks observed rom any points in the coolant loop, loop will be bled using taps tomorrow. Water turned OFF overnight.
FEE's powered up with the MAC addresses of each read. 1 is the very bottom FEE and 8 the very top. FEE's have also labelled with the MAC addresses.
1. 0xd8 0x80 0x39 0x42 0x0d 0x0c
2. d8:80:39:41:d8:2b
3. d8:80:39:41:d0:05
4. 0xd8 0x80 0x39 0x41 0xd7 0xc2 (Now AIDA 5 see elog 185)
5. 0xd8 0x80 0x39 0x41 0xb4 0x0b (Now AIDA 4 see elog 185)
6. d8:80:39:41:d8:20
7. 0xd8 0x80 0x39 0x41 0xb4 0x16
8 .0xd8 0x80 0x39 0x41 0xd7 0xcd
MACB firmware has been updated by Nic
One ion pump showed the warning 'arcing' (see attached photo) and was subsequently turned OFF. Most likely cause is the cable was moved during installlation of the flow meter as the pump showed no error this morning. The pump was turned back ON, no error observed -> cable not damaged but sensitive to movement. |
Attachment 1: 20220126_162435.jpg
|
|
Attachment 2: 20220126_162448.jpg
|
|
Attachment 3: 20220126-142809.jpg
|
|
174
|
Sat Jan 15 15:38:25 2022 |
JM, OH, TD | FEE installation, cable management |
All 8 FEEs have been installed in their holders.
The damaged feedthrough does not have the D-connector on the adaptor board inserted into the falnge but the FEE is connected to the adaptor board.
FEE's were carefully installed, with one person inserting FEE and another making sure FEE was straight and on the correct pin positions. The spring lock on the bottom FEE's have been tightend and zip ties have been placed to make sure they are secured.
Rack has been populated with cables from the rack to chamber laid out. Cables mostly go above the rack and hang off the large frame. This frame or the CARME frame takes most of the weight of the cables before they are plugged into the FEEs.
Cables are numbered 1-8. Brown ethernet cables are numbered 1-4, green 5,6 and yellow 7,8. Numbers correspnd to Bottom FEE (1,2), Side bottom FEE (3,4), Side top FEE (5,6), Top FEE (7,8)
|
Attachment 1: 20220114_104819.jpg
|
|
Attachment 2: 20220114_105152.jpg
|
|
Attachment 3: 20220114_105213.jpg
|
|
Attachment 4: 20220115_133808.jpg
|
|
Attachment 5: 20220115_133820.jpg
|
|
173
|
Sat Jan 15 11:28:10 2022 |
JM | Inventory |
Vaccum
DN250CF 1x gaskets
DN200CF 5x gaskets
DN160CF 1x gaskets, 2x blank flanges
DN100CF 69x gaskets, 19x blank flanges, 14 double feedthroughs (1 damaged)
DN63CF 3x gaskets, 2x blank flanges
DN300CF 5x gaskets
DN350CF 0x gaskets
1X WIRE SEAL
DN35CF 3x gaskets, 13 blank flanges
DN16CF 22x silver gaskets, 27x copper gaskets
Swagelock
SS-QTM2-D-8M0 Male quick disconnect X18
SS-QTM2A-B-8M0 Female quick disconnect X21
SS-8M0-1-6RS Manifold adaptor X39
NY-8M3-1 Front ferrule X118
NY-8M4-1 Back ferrule X120
Stainless ferrules XMANY
FEE/FEE HOLDER PARTS
FEES x1
Fully assembled FEE holder (MINUS ADAPTOR BOARDS) x1
Cylindrical supports x32
Feedthrough mounts x12
Black adaptor board mounts x11
Spring loaded FEE lock x26
FEE holder side panels x5 pairs
Adaptor boards x2 (1 slightly bent pins)
FEE rail bolts x4
M3X16 281-013 x91
M3 locknuts 260-5815 x34
Springs 821-273 x40
M4x25 (2mm too long) 281-057 x32
M6x20 281-120 x69
M3x6 280-981 x34
Assembled Hose 1.5M (Red) x8
Assembled Hose 1.5M (Blue) x8
Blue Hose ~16M
Red Hose ~10M
We require additional gaskets for those we are low on (<5) DN35,63,160,250,350 and more wire seals
We should have enough swagelock and FEE holder parts to construct another 4 FEE holders for the remaining required for mounting all moving detector FEEs.
We also need fuses for the USB mains relay and power supply -> 2x 250v 10A, 16x 250v 1.6A. Dimensions for both are the same, length=20mm, Diameter=5mm (images attached)
Additional fittings provided by GSI for the manifold are in the swagelock box. |
Attachment 1: 20220115_162649.jpg
|
|
Attachment 2: 20220115_162712.jpg
|
|
172
|
Fri Jan 14 10:00:18 2022 |
TD, OH, JM | Friday 14 January |
11.00 Per https://elog.ph.ed.ac.uk/CARME/170 and https://elog.ph.ed.ac.uk/CARME/171 issues have been identified with the FEE64 carriers and adaptor PCBs
Some additional comments
1) Per https://elog.ph.ed.ac.uk/CARME/170 attachments 6-9 we can see that there are no cable strain reliefs to which we can attach the FEE64 power, RJ45 network and
timestamp HDMI cabling. It would probably be straightforward to design something which could be attached to the FEE64 carrier side panels. For the time being we will use
the copper piping of each FEE64 as the nearest, mechanically stable point to which we secure the cabling.
2) Before the skimming of 1x Delron rail yesterday there was a small amount (<1mm say?) of transverse movement of the FEE64 during insertion into the carrier and it is
not clear why. Small sample of measurements of FEE64 carriers, spacers etc.
Delron adaptor PCB support widths 92.85, 92.87, 92.90
Al DN100CF flange attachment width 92.97, 92.99, 93.01
Al FEE64 carrier spacing rod 93.03, 93.04, 93.03
FEE64 width (w/ 1x c. 2mm skimmed Delron rail) 100.2, 100.2, 100.3 (3 measurements along the length of the 1x FEE64)
FEE64 carrier width 102.8, 103.0 (2 measurements of 1x FEE64 carrier)
probably indicates that the spacing between the FEE64 plates is consistent. There is some variability (~0.1mm) in the Delron adaptor PCB which could affect the relative
position of the ERNI pins and sockets. Is there some variability in the width of the FEE64s (w/ rails)? |
171
|
Thu Jan 13 10:33:24 2022 |
JM, OH, TD | Adaptor Board misallignment |
The ERNI connector is not central on the adaptor boards causing misallignment with the FEE.
The adaptor board is 80mm long. The ERNI connector is 50mm long. The connector is 13mm from the 2x Lemo side and 17mm from the 3x Lemo side. This results in misallignment between the FEE and adaptor board.
To correct for misallignment, 2mm will be skimmed from one of the rails on the FEE.
Skimming the rail allows the FEE to now be inserted straight into the adaptor board connector. Attached image shows test FEE holder on the bench. FEE needs to be carefully inserted to make sure it is straight as it can now move around within the FEE holder with the 2mm skimmed off the rails. For CARME, where sight lines and space are limited, one person is required to hold and push in the FEE whilst another checks the positioning of the connectors is straight. |
Attachment 1: 20220112_160951.jpg
|
|
Attachment 2: 20220113_145105.jpg
|
|
Attachment 3: 20220113_145147.jpg
|
|
170
|
Wed Jan 12 20:07:20 2022 |
JM, OH, TD | FEE holder installation |
4 FEE holders installed on CARME. Mounting procedure devised due to limited working space to install.
Mounting procedure:
>Install FEE holder mounts (image 1) onto feedthrough flange
>Partially make up FEE holders on workbench. Only 3 cylindrical support rods, spring latches and one of the black adaptor card mounts should be attached to aid install. Bolts should be loose to aid install on CARME.
>Mount FEE holder to flange
>Connect first adaptor card into D connector on feedthrough, then screw adaptor card to black mount.
>Screw in second black adaptor card mount but do not tighten bolts. Connect second adaptor card to D connector and screw to black mount.
>Attach remaining cylindrical supports and tighten all bolts.
Bolts to install FEE holder mount onto flange (M4x25) are 2mm too long for the hole on the flange. Washer were initially used however this prevents adaptor cards being able to be secured onto thier black mounts. Bolts were shortend 2mm by davide to install. 5 shortend bolts remain in addition to thebag of unshortend M4x25 bolts.
On the nn flange of the bottom detector (non bias pin D-connector) the feedthrough is damaged. Damage may be repairable, to prevent further damage an adaptor card was not plugged into this D-connector. (image 1)
A bias test was performed for the top detector once adaptor cards are installed. First test is the bias test performed prior to adaptor cards being installed, second test was performed after installation of adaptor cards.
Installing FEEs on the outer side of the ring in the future may have some mechanical conflict with the gas target frame, see attached images. |
Attachment 1: 20220112_134113.jpg
|
|
Attachment 2: 20220112_155052.jpg
|
|
Attachment 3: 20220112_160956.jpg
|
|
Attachment 4: 20220112_161020.jpg
|
|
Attachment 5: 20220112_161034.jpg
|
|
Attachment 6: 20220112_170144.jpg
|
|
Attachment 7: 20220112_170435.jpg
|
|
Attachment 8: 20220112_165918.jpg
|
|
Attachment 9: 20220112_170048.jpg
|
|
Attachment 10: Bias2.png
|
|
168
|
Tue Jan 11 12:45:15 2022 |
TD, JM, OH | Coolant manifold installation + detector pin tests |
2x cooling water manifolds with 2x 8 ports have been installed - see attachments 1 & 2
'drain' ports at top of manifold to permit release of trapped air volumes. Local technicians will install a standard blank in one manifold and a small nipple valve on the
other so that we can bleed air in a controlled way.
#Multimeter detector pin tests.
Pins on feedthrough flanges investigated using multimeter for the top and bottom detector due to no response from bottom detector when bias was applied. Bias pins on
correct D connectors are number 78.
#Top detector
>Observe -18.5 kohm; between nn bias pin and pn bias pin (multimeter 200kohm setting)
>observe open line between nn bias pin and pins 'near' pn bias pin (multimeter 200kohm setting)
>Between random pins on same D connector (nn bias pin connector) ~0.02Mohm (multimeter 2Mohm setting)
>Between random pins and unconnected on same D connector (nn bias pin connector) - open line (multimeter 2Mohm setting)
>Between random pins and bias pin on same D connector (nn bias pin connector) ~0.01-0.02 Mohm (multimeter 2Mohm setting)
>Between nn bias pin and random pin on another D connector ~-0.4Mohm (multimeter 2Mohm setting)
#Bottom detector
>Observe open line between nn bias pin and pn bias pin (multimeter 200kohm+2Mohm; setting)
>Observe open line between nn bias pin and pins 'near' bias bias pin (multimeter 200kohm+2Mohm; setting)
>Between random pins on same D connector (nn bias pin connector) ~0.02Mohm (multimeter 2Mohm setting)
>Between random pins and unconnected on same D connector (nn bias pin connector) - open line (multimeter 2Mohm setting)
>Between random pins and bias pin on same D connector (nn bias pin connector) ~0.01-0.02 Mohm (multimeter 2Mohm setting)
>Between random pins on same D connector (pn bias pin connector) ~0.02Mohm (multimeter 2Mohm setting)
>Between random pins and unconnected on same D connector (pn bias pin connector) - open line (multimeter 2Mohm setting)
>Between random pins and bias pin on same D connector (pn bias pin connector) ~open line (multimeter 2Mohm setting)
>Between nn bias pin and random pin on another D connector ~-0.4Mohm (multimeter 2Mohm setting)
We see a similar response for both detectors except that the pn bias pin gives no response.
We have looked to find the bias pin on nearby pins to pin 78 and on the other side of the D connector (in case of cabling error-mirror or swapped cables) but cannot find.
4 FEE holders constructed and ready to install |
Attachment 1: 20220111_113628.jpg
|
|
Attachment 2: 20220111_113613.jpg
|
|
Attachment 3: 20220111_111428.jpg
|
|
167
|
Mon Jan 10 20:52:53 2022 |
JM, TD, OH | Baking tent removed, VI tests |
Baking tent, frame and trays fully removed from CARME chamber. Removal of baking frame required removing air lines from upper gate valve and repositioning of vacuum hose connecting turbo pump to backing line and turbo power cable. Some difficulty encountered removing upper baking frame now the target support frame is assembled. Small modification seperating section in two would aid future installation and disammebly.
Baking trays removed with difficulty. Main baking tray bent to extract from the frame -> updated design required for future bakeout cycle.
VI tests performed on the upper and lower detectors. Upper detector shows characteristic curve (attached plot), lower detector shows no response to increased voltage. Multimeter used on adapter boards with resistance of ~18.5 kΩ observed between bias pins for the upper detector. Open line seen between bias pins on the lower detector, some connections observed for other pins on the board -> requires further investigation.
NOTE - Channel 0 on bias supply is unstable, should not be used.
Most equipment for installation of FEE's and coolant manifolds found, assembley of FEE holders started. Full list of current swagelock inventory as follows:
SS-QTM2-D-8M0 MALE QUICK DISCONNECT |
15 |
SS-8M0-1-6RS MNIFOLD ADAPTOR |
40 |
NY-8M4-1 ferrule fronts (nylon) |
120 |
NY-8M3-1 ferrule rears (nylon) |
120 |
SS-QTM2A-B-8M0 FEMALE QUICK DISCONNECT |
15 |
|
Attachment 1: 20220110_175547.jpg
|
|
Attachment 2: 20220110_175811.jpg
|
|
Attachment 3: vi-curve.png
|
|
166
|
Tue Dec 7 09:39:59 2021 |
CB (remotely) | Gate valves closed |
Dry run complete.
YR09 section valves closed. Section isolated. Manual valve between electron target and CARME remain open. |
165
|
Tue Nov 30 10:49:43 2021 |
CB (remotely) GV | Gate valve opened |
GSI vacuum division IE514 gauge connected.
Gleb reports gate downstream opened. Vacuum goes up and recovers as expected. |
Attachment 1: vacplot24.png
|
|
164
|
Sun Nov 28 15:57:45 2021 |
CB (remotely) | Nov 2021 temperatures |
Attached |
Attachment 1: 211128164424_temperatures.zip
|
163
|
Fri Nov 26 10:01:45 2021 |
CB (remotely) ML | Power cut in the CRYRING cave |
ML reports power cut in CRYRING cave. Reasons unknown. No effect on vacuum, but top valve closed for safety reason. Ramping down temperature. |
162
|
Wed Nov 24 12:08:08 2021 |
CB + ML OG | Ion pumps on |
Found SIP power unit for D2000 #4 not functioning correctly. Max current regardless of ion element connected to.
ML kindly loaned a spare. All D2000 work Ok now. Will have to send faulty unit for repairs back to SAES.
Opened up front part of tent just enough to allow GSI vacuum division to connect IE 514 and GSI ion pump. Tent to remain until January.
Left to do this year:
- Turn on GSI ion pump
- Turn on GSI IE514
- Close top gate valve
- Turn off maglev turbo (very close to magnet) |
Attachment 1: vacplot24.png
|
|
Attachment 2: vacplot.png
|
|
Attachment 3: CARMEtemps.png
|
|
161
|
Tue Nov 23 08:49:33 2021 |
CB + ML | NEG activation completed |
Found temperatures and pressure stabilised overnight. See attached.
12:00 Began activation of 3x UHV1400 in channel 2, top module. Started using 90 W as per procedure. No effect on temperature. Increased to 120 W. OK
14:30 Began activation of 2x UHV1400 in channel 1, in front of the detectors. Considered moving detectors but decided against it since bellows are rated to move at 20C at most. Activated at 60 W (vs. 75 W in procedure). OK
All NEG elements off. CARME heaters ramping down to 60 C overnight.
Ion pumps activated < 100 C. Valve closed. #4 doesn't come online properly. Sone issues with #2 as well but it recovered on its own. Left cooling down. No changes. Ion pumps off and valve open. Will try tomorrow. Could be faulty cable?
Vacuum division may want to activate their pump as well - times unclear. |
Attachment 1: vacplot24.png
|
|
Attachment 2: CARMEtemps.png
|
|
Attachment 3: vacplot24-1.png
|
|
Attachment 4: CARMEtemps-1.png
|
|
160
|
Mon Nov 22 08:06:01 2021 |
RSS CB + ML | NEG activation starts |
08:20 Temperature of channels 1-3 and 5-6 were reduced to 120 degrees. Immediately after, the conditioning of the NEGs was stopped and D2000s ion pumps were flashed 5 times. The temperature of the gas jet target and the electron target channels was reduced to 120 degrees.
11:00 Started activation of D2000 following procedure in ... at T=400 C for trise 30 min + thold 90 minute. After activation, NEG elements are left at 200 C to maintain cleanliness. Temperatures increasing, but still well below safety margins.
16:00 Started activation of NEGs from channel 1 at 200 W. No effects on temperatures. Activated channel 2 as well. No significant effects. Increased activation power to 250 W. No issues
16:30 Given temperatures are still low decided to activate 3 and 4 with 230 W (Vs. 150 W last time). Ok for 30 minutes then temperature starts increasing a bit too quickly. Decreased to 200 W. Ok.
18:45 Activation all NEGs on middle module complete. Left overnight to stabilise / cool.
21:00 Channel 6 of the heater stops responding properly. ML asked HKR to disable. No effects on temperatures.
|
Attachment 1: IMG_5674.JPG
|
|
Attachment 2: CARMEtemps.png
|
|
Attachment 3: vacplot.png
|
|
159
|
Fri Nov 19 12:03:51 2021 |
CB RSS | Conditionings NEGs |
Increased conditioning temperature in D2000 #3 and 4 (behind detectors) to 150C from 130/140C. No appreciable change in temperature. Minor effects in pressure.
Pressure has completely recovered from yesterday and did not settle on higher/lower plateau value which may suggest conditioning the D2000 NEG elements has helped outgassing the chamber as a result of the low baking temperature.
Will now try to condition the UHV1400 furthest from the detectors at very low power according to SAES conditioning instructions.
The advantages are unclear at present, but more temperature during baking should not hurt final vacuum level. Will re-activate all NEGs anyway.
14:00 - Set power for all UHV1400 except those in the moving DSSD chamber to 20W. Some effects on both pressure and temperature. Will leave over weekend, start activation on Monday. |
Attachment 1: CARMEtemps.png
|
|
Attachment 2: vacplot.png
|
|
158
|
Thu Nov 18 13:50:51 2021 |
CB RSS | Thermocamera images |
Used thermocamera provided by GSI beam diagnostic division to take some pictures of YR09. See attached.
Due to aluminium outer wrapping some reflections are inevitable, but tried to only take pictures of heat spots that did not disapper from different angles.
Temperature calibration may be not perfectly reliable as well.
1. Gap close to CARME outside motors
2. CARME top valve
3. CARME top valve
4. CARME turbo
5. Interaction chamber looking downstream from outside of ring. Note flexible hose pumping Be window.
6. As 5, from inside the ring.
7. Interaction chamber detail (see top left in picture above)
8. IE514 sensor on interaction chamber bottom
9. YR09 from inside the ring. Note electron target
10. As above, looking further upstream
11. YR09 from inside the ring, looking downstream towards CARME
12. Electron target from inside the ring
13. Electron target from outside the ring. Biggest flange.
14. Interaction chamber from inside the ring |
Attachment 1: IR000087.png
|
|
Attachment 2: IR000088.png
|
|
Attachment 3: IR000089.png
|
|
Attachment 4: IR000090.png
|
|
Attachment 5: IR000091.png
|
|
Attachment 6: IR000092.png
|
|
Attachment 7: IR000093.png
|
|
Attachment 8: IR000094.png
|
|
Attachment 9: IR000096.png
|
|
Attachment 10: IR000098.png
|
|
Attachment 11: IR000099.png
|
|
Attachment 12: IR000101.png
|
|
Attachment 13: IR000102.png
|
|
Attachment 14: IR000103.png
|
|
157
|
Thu Nov 18 12:29:50 2021 |
CB RSS | Conditioning D2000 NEGs |
D2000 thermocouples report temperatures around 100C (D2000 #1,2) and 120C (3,4). 1,2 are in the intermediate chamber, 3,4 are behind the moving arms. 3 is directly being the detectors.
Wanted to flash ion elements in D2000, but SAES recommend >100C to flash.
Followed SAES procedure / pre-sets to condition D2000 NEG elements while baking, but reduced temperature from 250 to 150 C (1,2) 140 C (4) and 130 C (3).
No appreciable changes in temperature. Change in pressure quite apparent. Left elements at temperatures above. May consider increasing everything to 150 tomorrow assuming detector temperatures do not change.
May also consider conditioning NEG elements in intermediate and DSSD wall chambers with 15W power (150C?) during baking as per SAES procedure. We never did this before, but we never baked as such low temperatures either. Will have to activate NEG elements after conditioning either way. |
Attachment 1: vacplot.png
|
|
Attachment 2: CARMEtemps.png
|
|
156
|
Wed Nov 17 07:12:22 2021 |
RSS CB + ML (remotely) | CARME baking with tape continues 17 Nov |
CARME baking with heating tape continues
Left overnight at -
Ch1-3: 140 degrees
Ch5-6: 150 degrees
Ch8: 100 degrees
07:50 - Increased channels 1-3 to 150, channels 5 and 6 to 160, and channel 8 remain at 100. For the gas jet target, channel 2 increased to 150.
12:39 - Increased channels 5 and 6 to 170 C. All of CARME is >100 C except "Magnet Pipe" that is at 95 (probably OK given proximity to gate valve).
13:40 - Decreased channel 5 to 160 C. Bottom back of CARME was going up, but rest of chamber was not following along. Thermal gradients were getting excessive.
15:10 - Checked Kapton wire specs from Allectra. Max temperature of 311-KAPM-060 is 260 C in a vacuum not 160 C as erroneously remembered. Increased Ch 1 2 3 to 160 and channel 5 to 170. Planning on leaving until weekend.
Progress can be followed on
http://web-docs.gsi.de/~lestinsk/baking.php
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: IMG_5600.JPG
|
|
Attachment 2: IMG_5602.JPG
|
|
Attachment 3: 20211117_122601.jpg
|
|
Attachment 4: 20211117_151041.jpg
|
|
Attachment 5: 20211117_151500.jpg
|
|
Attachment 6: Kaptonwires-overview.pdf
|
|
Attachment 7: CARMEtemps.png
|
|
155
|
Tue Nov 16 12:05:13 2021 |
CB RSS | CARME baking with tape continues |
CARME baking with heating tape continues
Left overnight at 100C. Turned up this morning at 9:00 to 120C.
All good except detector strain relief seems to be going much higher than detector (no obvious reason) and then cool down. Proximity to flange / heating tape likely. One potential solution would be to push the motor in, putting some distance between detector / strain relief and outside flange.
13:30 - Increased channel 1 to 130 (long tape) and channels 5 and 6 to 140 (bottom flange heaters). Left rest as was.
15:20 - Increased channel 2 and 3 to 130. No obvious effects except Magnet pipe goes up
16:00 - Increased channel 1, 2, 3 to 140. Increased channels 5 and 6 to 150 C.
Progress can be followed on
http://web-docs.gsi.de/~lestinsk/baking.php
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: CARMEtemps.png
|
|
Attachment 2: 20211116_132048.jpg
|
|
Attachment 3: 20211116_155138.jpg
|
|
Attachment 4: 20211116_155857.jpg
|
|
154
|
Fri Nov 12 08:54:11 2021 |
RSS ML + CB (remotely) NK AK NP | First CARME baking attempt |
07:50 Small leaks (near the motors and upper valve) were detected in the tent which were covered with Al foil.
08:10 ML changed the baking temperature to 120 degrees.
09:40 Since the bottom of CARME is cold, due to uneven distribution of heat, baking is stopped.
13:00 Tent was slightly opened from the sides so that the heat can escape.
13:15 NK mounted three 200 W (see red labels in the picture below) and one 40 W (see the yellow label in the picture below) heating jacket on four CF100 flanges. Power cables and thermocouples were taken care of by AK.
14:00 Tent was closed.
14:00-17:00 ML started baking at temperature 80 degrees to check the heat distribution in the tent. Baking was then stopped and will start from Monday.
Attached - thermocouple temperatures (now correct) |
Attachment 1: Capture.PNG
|
|
Attachment 2: 200W_heaters.svg
|
|
Attachment 3: 40W_heater.svg
|
|
153
|
Thu Nov 11 14:01:00 2021 |
AK, ML | Heater channel layouts |
Schematic layout of heaters and channel assignment attached. Table kindly provided by AK. I hope the conversion from excel to pdf didn't swallow any important information. |
Attachment 1: 2020.11.05_Cryring-YR09-baking-layout.pdf
|
|
151
|
Thu Nov 11 11:44:58 2021 |
RSS + ML OG + CB (remotely) | CARME baking started |
With the help of OG, the baking tent was built. MG started baking around 11:30 am with a rate of 0.2 K/minute.
Heating update can be found on: https://web-docs.gsi.de/~lestinsk/baking.php (CARME temperature plot now visible as well - thanks Michael!)
where, device - 192.168.207.192 is for CARME.
Ch1: CARME chamber long tape (2 kW)
Ch2: CF100 flanges exit side
Ch3: CF100 bellows exit side
Ch5: 200 W (x3) CF 100 flanges
Ch6: 40 W CF 100 flange
Ch8: VAT 100 gate valve to ring
example: in 39:50, 39 is the temperature and 50 is the set temperature. |
Attachment 1: IMG_5535.JPG
|
|
Attachment 2: IMG_5537.JPG
|
|
Attachment 3: IMG_5531.JPG
|
|
Attachment 4: IMG_5534.JPG
|
|
Attachment 5: IMG_5539.JPG
|
|
150
|
Wed Nov 10 18:38:16 2021 |
RSS TD + ML OG AK NK | CARME wrapped in heating tape |
Heating and electronic experts were in CRYRING and were unhappy with the damaged wire. Heaters were opened by OG, but no damage was found. It is suggested to replace the entire wire and then get it approved by the concerned authority.
To avoid further delays, ML and TD agreed on heating tapes/wires covering the chamber. OG helped in wrapping the heating wire around. Tent is to be built by tomorrow.
MG and RSS tried the magnetic power back off thing which eventually did not work.
AK and NK helped with the power and thermocouple of the heating wire. NK has also covered the pipe and bellows near the magnet with heating jackets.
|
Attachment 1: IMG_5514(1).JPG
|
|
Attachment 2: IMG_5517(1).JPG
|
|
Attachment 3: IMG_5518.JPG
|
|
Attachment 4: IMG_5520.JPG
|
|
Attachment 5: IMG_5521(1).JPG
|
|
149
|
Wed Nov 10 18:24:12 2021 |
RSS | Thermocouple labelling |
1. Detector
2. Chamber back
3. Strain relief
4. Target
5. Front CARME
6. Top hanging
7. Middle middle CARME
8. Middle bottom
1. Middle top
2. End middle
3. Elbow
4. Valve
5. Magnet pipe
6. End back
7. Float
8. End bottom |
Attachment 1: labeling.JPG.svg
|
|
Attachment 2: Labelling.svg
|
|
Attachment 3: IMG_5512.JPG
|
|
147
|
Wed Nov 10 14:41:12 2021 |
TD RSS + CB (remotely) | Wrapping CARME in heating tape |
ML reports that baking of the upstream section (gas jet interaction chamber plus e-target) has commenced - initially to 50 deg C to check thermocouples. If OK baking
temperature will be ramped slowly (which I think means c. 10 deg C per h) to limits established for specific components by the e-target.
Ragan and Oemer are currently threading a 10m heating tape helically around the CARME chamber.
16.20 ML reports baking of upstream section is proceeding OK - currently ramping to 100 deg C at 10 deg C / h.
16.25 RSS reports that he completed the install of the thermocouples this morning and will update the Elog with specific locations of each thermocuple and TC08 channel
later today.
16:30 All CARME thermocouples <20 C. No temperature changes. Monitor.x left under screen. Please summon with screen -x and dismiss with CTRL+a d
17:40 One CARME thermocouple at 40C (CARME front) |
146
|
Tue Nov 9 15:03:29 2021 |
RSS + OG | Burnt cables issues |
Upper part of the frame was mounted. In order to do so, the valve was closed, turbo wire and hose were disconnected and then connected. Valve was opened again. See pictures 1-2.
Bottom part of the tent was constructed with 3 heaters (from one of the controllers) at the front, 4 in the middle, and 4 at the back (4+4 from the other controller). See pictures 3.
Thermocouples were tested and connected to TC08 modules.
Wire connecting to four heaters was damaged which caused a spark and sound when the upper tent was being constructed. This caused a power failure two times, turning off all three pumps. The upper valve was immediately closed. Power supplies of heaters were disconnected and pumps were turned on again. Valve was opened again as well.
Construction of tent is paused. Waiting for the wire to be repaired by tomorrow and start again. |
Attachment 1: IMG_5493.JPG
|
|
Attachment 2: IMG_5496.JPG
|
|
Attachment 3: IMG_5497.JPG
|
|
Attachment 4: IMG_5500.JPG
|
|
Attachment 5: IMG_5502.JPG
|
|
Attachment 6: IMG_5503.JPG
|
|
Attachment 7: IMG_5507.JPG
|
|
145
|
Mon Nov 8 17:19:02 2021 |
RSS + OG | Neg and ion cables connected |
Neg and ion pump cables were labeled and connected.
Kapton tape wrapped around the neg cable connectors for the strain support.
Lower frame mounted. |
Attachment 1: IMG_5480.JPG
|
|
Attachment 2: IMG_5483.JPG
|
|
Attachment 3: IMG_5488.JPG
|
|
144
|
Sat Nov 6 14:21:10 2021 |
CB RSS | Preparation for bakeout tent mounting + to do for next week |
Connected pneumatic hoses prepared by Gleb. Opened flow to pressure reducer set at 2 bar. Ok. Attempted to operate pneumatic motors. Somehow left / right pneumatic motors controls swapped around! Works fine otherwise. Most likely hardware issue, i.e. hoses are inverted inside motor box. Software fix possible in principle. Probably easiest to swap hoses going out from motor box (top to bottom and vice versa). Could not pull out hoses after installing. To do for next week.
Started connecting NEG and ion pumps. Realised wires are only labeled on one side. Had to separate all wires in one harness to make absolutely sure to know which wire is which. Second harness to be done next week. Please connect D2000 elements to bottom C4 power module, NEG getters wires 1-4 to middle power module and 5-6 to top power module. This means activation can proceed bottom to top of rack with minimal risk of mix ups. Please install top C4 module back in rack - missing appropriate rack shelf.
Installed Jan's Nim bin in rack. Jan thought that it was dead but seems to work just fine. Maybe test again?
To do next week
Place thermocouples as per suggestion on file in baking Pi Baking directory.
Remove valves support. Install bottom of tent.
Install aluminium frame and heaters. This may require stopping the turbo pump and closing top gate valve.
Install rest of tent. Be careful not to pull or stretch the fabric. It's fragile to tension and fibreglass will pour out.
Install aluminised fibreglass and aluminium shell.
Start baking. Target temperature 120C. |
143
|
Fri Nov 5 12:27:11 2021 |
CB RSS + DR NP ML US | First pump down of YR09 |
Tightened all flanges.
Added Pirani gauge and third IE514 to interaction chamber.
Stated pump down. Around 100 mbar on Interaction chamber Pirani gauge very loud metallic noise is heard. Found bellows between CARME and interaction chamber completely pressed flat. CARME and interaction chamber now much closer. Vented immediately. No pictures. Bellows return to normal state.
Repeated slowly and carefully using theodolite laser on reference point on CARME. Found CARME does not move appreciably forward, thus motion must come from interaction chamber frame.
Mounted two feet between CARME and interaction chamber. Pumped down again. Interaction chamber now moves by few mm. No issues with bellows. Left pumping overnight (Thu 4 November)
Found at 1E-6 mbar this morning. Leak tested. Found four minor leaks. Fixed by tightening.
US + Kosmos installed Be window. Pumping down extremely slowly. 1 mbar per 2 second. Started turbo at 1 mbar. Pumping down overnight. No damage to window.
See attached new plastic free struts and motion of interaction chamber before after pumping. See skull nose. |
Attachment 1: 20211105_131748.jpg
|
|
Attachment 2: 20211105_135918.jpg
|
|
Attachment 3: 20211105_135929.jpg
|
|
Attachment 4: 20211105_140451.jpg
|
|
Attachment 5: 20211105_150616.jpg
|
|
142
|
Wed Nov 3 16:39:01 2021 |
CB RSS + NP DR NK ML | Interaction chamber lifted in place |
Prepared to move interaction chamber in place. Ok CARME side, flange has M10 bolts. On interaction chamber side i.e. bellows it has M8 bolts.
DS designed this to have loose fit under assumption of M10 side actually being 3/8 holes i.e. 9.9 mm. However holes are 10.2 mm. Furthermore Grossmontage suggest loose fit will be damaged in baking in the long term.
Dismounted bellow ring from spare bellows. Re-drilled to M10 and cleaned. Remounted. Old bellow outer ring moved with spare bellows.
Lifted interaction chamber in place. Alignment started. Will finish tomorrow morning, followed by pump down and leak test. Last step before beginning final pump down should be mounting Be window on Friday. Need US for that. |
Attachment 1: 20211103_161553.jpg
|
|
Attachment 2: 20211103_161813.jpg
|
|
Attachment 3: 20211103_175457.jpg
|
|
141
|
Wed Nov 3 11:18:11 2021 |
CB RSS + DR NK ML | Leak closed |
Found CARME at 1.0E-6 mbar. Beginning leak test.
Found leaks in the same location as before. Roughly 1~3E-8 mbar l second vs. base leak of 1E-12
Tightened right hand half of bolts to 120 Nm. No changes but leak goes up to 1E-10 mbar l second when tightening bolts closer to leak location, without He being sprayed.
Tightened bolts closer to leak to 140. Leak goes down to 1E-9 mbar l second
Tightened four bolts closest to leak to 170 Nm. Leak disappeared (<1E-11 mbar). Tested repeatedly all around CARME including left hand side of 600 COF flange. No leaks.
Vented. Installing jet target in the afternoon.
|
Attachment 1: 20211103_110244.jpg
|
|
Attachment 2: 20211103_110414.jpg
|
|
140
|
Tue Nov 2 12:45:36 2021 |
CB + DR NK | Issues with CARME feet |
Attempted to close CARME as carefully as possible.
Swapped out one foot for another one and temporarily removed a second to allow CARME to rotate slightly and get a better alignment of the two surfaces of the COF600 flange.
Closed CARME but realised carriages with struts are such that carriages touch before flanges do. Worse on side with leak than side without. See attached. As a result we would not be able to close properly.
DR to modify the holes in the feet closest to flange on CARME front to allow surfaces to touch before carriages come in contact. Hopefully close later today.
Issue was not encountered earlier because CARME was fully mounted before being dropped on feet. Struts were forcefully brought close to allow CARME to descend. Unclear how this was done in DL but may be baking had altered some sizes enough for the issue to appear. Spare feet do not help significantly.
Closed CARME . 1. Bolts finger tight. 2. Bolts tightened with tools stopping as soon as resistance is felt. Tightening done from the top descending towards the right (clockwise) and from the bottom counterclockwise. 3. 20 Nm applied in the same way 3. 40 4. 60 5. 80 6 80 again but single operator going round 6. 100 single operator
Pumping down overnight
|
Attachment 1: 20211102_134446.jpg
|
|
139
|
Mon Nov 1 14:48:16 2021 |
CB + ML DR | CARME opened |
Confirmed leak as per previous Elog.
Tightened to 100 Nm - no effects.
Tightened to 130 Nm - bottom leak disappeared. Top leak still at 1.3E-8 mbar l second.
Decided to open. Lifted front part of CARME down.
No obvious damage to wire seal.
Inspected wire seat and seal part. Part corresponding to leak feels rough to the touch. Suggested damage when SS parts come together?
DR sanded down gently the valve seal. Cleaned with compressed air and ethanol.
Gently sanded down seat as well, barely touched it.
Grossmontage experts will be back tomorrow am for final cleaning and re-assembly. |
138
|
Sun Oct 31 13:55:13 2021 |
CB OH | Leak tests |
Found CARME at 2E-7.
Closed valve on top of roughing pump. Clamp was not tight. Pressure still good.
Connected to leak cart instead. Brought leak cart to 1E-2. Did not open valve yet. Found CARME pressure at 7.5 mbar. Leak in the backing line? Unclear what happened but moving backing line flexible hose increases pressure. Tightened all clamps. Moving backing flexible hose no longer seems to have effect.
Pumping down again for leak tests. Turbo looks and sounds fine.
Recovered E-6 in few minutes. Reconnected leak cart. Pressure does not increase. Still not sure what happened earlier. Beginning leak tests.
Leaks found in the wire seal around location of picture attached. Would suggest tightening wire seal tomorrow and check again. Leak must be small given base pressure achieved.
|
Attachment 1: 20211031_155820.jpg
|
|
137
|
Sun Oct 31 08:05:15 2021 |
CB OH + DR ML | CARME closed again + feedthroughs labeled |
(Fri 29 October)
Cleaned wire seal recess and labeled thermocouples and flanges to identify p-n vs. n-n side and ports with bias pin. See attached in case baking damages the label.
Grossmontage insisted to clean wire seal once more to remove black stains noticed when opening. Kapton vapour condensation could be possible cause. They used special cleaning product from XHV (not sure what - acetone mix with something?)
Lifted CARME back. Inserted wire seal. Closed chamber. Step is noted between central CARME section and other two - it's normal. Noted some damage to SS vessel on the outside. Unclear what could have caused it but it's only cosmetic.
Wire seal tightened with 20 - 40 - 60 - 80 - 80 Nm turns starting from top and proceeding in a circle. Had to temporarily dismount on foot to make space for torque wrench. Also had to saw off front part of ratchet attachmnent to slide wrench between GSI ion pump and flange. All other flanges closed by Grossmontage. Pump down started. |
Attachment 1: 20211029_110228.jpg
|
|
Attachment 2: 20211029_110617.jpg
|
|
Attachment 3: 20211029_115220.jpg
|
|
Attachment 4: 20211029_130422.jpg
|
|
Attachment 5: LowerFeedthroughs.png
|
|
Attachment 6: PXL_20211029_074516697.jpg
|
|
Attachment 7: PXL_20211029_080123618.jpg
|
|
Attachment 8: PXL_20211029_080130072.jpg
|
|
Attachment 9: PXL_20211029_080130885.jpg
|
|
Attachment 10: PXL_20211029_080136793.jpg
|
|
Attachment 11: PXL_20211029_080139929.jpg
|
|
136
|
Sun Oct 31 07:55:39 2021 |
CB JM + OH | Strain relief mounted |
(Thu 28 October)
All strain relief extension plates mounted behind the wires, using SS countersunk bolts (not silver plated).
Inserting bottom part of MACOR strain relief causes wires to bend too much with strain placed on DSSD. No reasonable way to proceed like that.
Opted to move hard kapton coated copper wire to line up with holes in top MACOR strain relief, and clamp the wrapped wire bundles between top MACOR strain relief and extension plate on bottom.
Results good. No significant movement when wires are moved or motors actuated. See videos - password abcd1234
https://datasync.ed.ac.uk/index.php/s/5waHTSY9husEgy9
https://datasync.ed.ac.uk/index.php/s/34293z7kQs2Mppd
https://datasync.ed.ac.uk/index.php/s/xmHFVqqIchtixGT
Mounted plate with MACOR shield on other rod. Connected thermocouples. Re-mounted 2x UHV1400 getters.
With help from OH attempted V-I test of detectors. SHV to 2x lemo broken (faulty lemo connector). Had to borrow AID@DESPEC cable.
Diode function OK. VI tests OK but inconclusive. Both DSSDs drain current when voltage is applied, but current not quite stable. Light leak very likely. Will attempt again after closing. |
Attachment 1: 20211028_190026.jpg
|
|
Attachment 2: 20211028_192251.jpg
|
|
Attachment 3: 20211028_192210.jpg
|
|
135
|
Sun Oct 31 07:41:20 2021 |
CB JM + RSS | Wires plugged in DSSDs |
(Wed 27 October)
Started with top-most flange. Attemptedto plug in MACOR lead in DSSD plug in preparation for jacking in. Realised that extender plate thickness causes plug to be rasied with respect to nominal position, which results in plug non entering straight. Removed extension plate.
Plugged MACOR lead in. OK. Inserted M6 jacking bolts and gently turned by hand. No resistance until jacking action starts. Jacked plug in with spanner and even 1/4 turns. Removed bolts. All OK.
Attempted same with bottom-most cable harness. Due to strain from top-most wire harness the plate is now rotated on the rod. Rotated back. Jacked in. Removed bolts. No issues.
MACOR plugs show small gap in rear part. Gap seems due to harness strain and disappears depending on plate orientation. Will check but pins are obviously connected.
(Thu 28 October)
Attempted to plug in top-left MACOR lead. Dog leg conflicts with jacking screw! Only solution is to slacken bolt, rotate strut, fasten again with detectors still on plate. Result attached. No clear if design, manufacturing or mounting oversight.
Had to attemping plugging in a few times before jacking bolts went in straight (i.e. no resistance felt). Jacked in both leads. No further issues.
Gap appears less significant in newly plugged leads. probably as a result of lack of rotational freedom.
|
Attachment 1: 20211027_184502.jpg
|
|
Attachment 2: 20211027_184527.jpg
|
|
Attachment 3: 20211027_184535.jpg
|
|
Attachment 4: 20211028_105802.jpg
|
|
Attachment 5: 20211028_105919.jpg
|
|
Attachment 6: 20211028_105711.jpg
|
|
Attachment 7: 20211028_105728.jpg
|
|
Attachment 8: 20211028_105734.jpg
|
|
134
|
Sun Oct 31 07:29:17 2021 |
CB JM + RSS OG | Detectors mounted on plate in CARME |
(Wed 27 October)
Dismounted plate from rod. Dismounted broken detectors from plate and placed back in box. Dismounted "dog leg" struts and replaced with fully un-threaded struts.
Mounted 3335-13 in bottom position.
Attempted to mount 3335-2 in top position: dog leg strut conflicts with jacking plate. Attempted to remove - bolt stuck in thread. Removed bolt with great difficulty after dousing the bolt and hole in ethanol. M3 vented bolt is completely bent. Thread in hole appears still good. Bad bolt? Mounted strut upside-down with new M3 vented bolt. No issues. Mounted 3335-2 on plate.
Mounted extension plates for strain relief. Note countersunk bolts.
Removed all flanges with wires from CARME. Lubricated rod with ethanol. Slid rod with 2x detectors on. FIxed with bolt in front and back. Plate securely mounted in CARME, but some degree on rotational freedom is left. |
Attachment 1: 20211027_172114.jpg
|
|
Attachment 2: 20211027_172114.jpg
|
|
Attachment 3: 20211027_172124.jpg
|
|
Attachment 4: 20211027_172132.jpg
|
|
Attachment 5: 20211027_172138.jpg
|
|
Attachment 6: 20211027_175255.jpg
|
|
Attachment 7: 20211027_175308.jpg
|
|
Attachment 8: 20211027_174610.jpg
|
|
133
|
Sun Oct 31 07:21:09 2021 |
CB JM RSS | Detectors arrived in GSI |
(Tue 26 October)
Two new CARME DSSD arrived in GSI and collected. Visually inspected both sides. No obvious damage. Photos attached. Second detector (3335-2) was shipped in upside-down box. Does not seem to have made any difference.
3335-13 will be mounted on bottom of plate. 3335-2 will be mounted on top of plate.
|
Attachment 1: 20211026_144342.jpg
|
|
Attachment 2: 20211026_144656.jpg
|
|
Attachment 3: 20211026_145204.jpg
|
|
Attachment 4: 20211026_145206.jpg
|
|
Attachment 5: 20211026_150432.jpg
|
|
Attachment 6: 20211026_150727.jpg
|
|
132
|
Fri Oct 29 15:09:27 2021 |
CB JM + RSS OG DR | Preparation to mount new DSSDs |
(Mon 25 October - Tue 26 October)
Considered different strategies to mount DSSDs inside CARME, while waiting for 2x new DSSD to arrive.
Plan A: Mount DSSDs on plate on the bench, slide plate on rod, then attach cables, then attach strain relief
Plan B: Mount DSSDs on plate, attach cables, attach strain relief, slide plate on rod, connect cables to feedthroughs
Mounted detectors on plate using new "dog leg" connectors. Threaded and unthreaded holes are flipped! Asked DR to unthread hole in two out of four, and clean.
Attempted to proceed with Plan B with stand-in broken detector. Found even a single wire harness attached to the detector was quite hard to insert in the chamber. Temporarily dismounted left-hand 2x UHV1400 to give more space.
Slid plate on rod. New position of rod block makes it easier to mount. Spraying ethanol on the rod to lubricate also helps greatly. Secured plate to rod. Holding flange with cables in left hand, attempted to mount macor plug in feedthrough with right hand. Unable to exert sufficient strength (CB, JM, OG). Pulling on the cable puts strain on the DSSD. Decided to avoid.
Attempted Plan A. Jacked connector in with no issues using M6x40 partly threaded bolts. Unable to unjack due to part threads! Obtained fully threaded rods. Unjacked using washer + two nuts tightened on each other. Jacked and unjacked another connector.
Decided to proceed with A. Having any cable pre-mounted in the chamber is a potential hazard to the detectors while mounting plate. Decided to dismount all flanges, re-organise harnesses and mount one flange+harness at a time after mounting detector on rod.
Used thick Kapton coated Cu from NEG pumps to organise the cables and improve strain relief.
Due to width of MACOR strain relief and nuts on the back of the MACOR plugs, realised strain relief cannot be mounted as intended. Asked DR to produce 90 x 30 x 3 mm extender plates instead. MACOR strain relief are 15 mm wide. Extender plate have 3x M4 unthreaded countersunk to fix to detector plate, 3x M4 threaded holes to hold MACOR strain relief.
Organised cable harnesses.
4 short: all good -> two to be mounted
2 long: all good -> to be mounted
1 long: Broken MACOR backplate and lead. Lead removed. Attempted to mount with backplate in new lead - unable to do so. Removing backplate requires re-crimping. Will have to send back to Edinburgh
1 long: Wires cross in odd way. Will have to re-organise. Probably requires re-crimping. One pin smashed during jacking. Not sure if rescuable. See attached.
|
Attachment 1: 20211025_104612.jpg
|
|
Attachment 2: 20211025_161821.jpg
|
|
Attachment 3: 20211025_161804.jpg
|
|
Attachment 4: 20211026_161756.jpg
|
|
Attachment 5: 20211026_163113.jpg
|
|
Attachment 6: 20211026_163202.jpg
|
|
131
|
Sat Oct 23 12:58:11 2021 |
JM | GSI vacuum and bakeout testing summary |
Attachment 1 shows the pump-down of the CARME chamber at GSI before installation of kapton cabling inside the chamber and mounting of the chamber on the ring. Discontinuity in the plot is from switching between the pirani gauge and IE514 gauge. The pumping of the chamber in the turbo regime follows the power law where P ~ 1/(nt) due to outgassing from the chamber being dominated by water outgassing which has an n ~1 dependence (for first order desorption). This is seen in the pumping of the chamber in the turbo regime (Attachment 2) where pressure falls with an n of 1.03. In red the power law is extended to 10^5 minutes, demonstrating the limitations of pumping the chamber without baking.
Attachment 3 shows the pumpdown pressure and baking temperature (chamber average) of the chamber once mounted on the ring, with all kapton installed in the chamber. Temperature data was lost in the middle of the plot due to the power cut to CRYRING causing temperature data to not be saved to the pi. Some of this data was plotted in elog 87 before beong lost from the pi. Points on the graph correspond to:
Point 1 - Baking started 2pm 23/9/21
Point 2 - Temperature limited to 40C due to high thermal gradients from uneven baking of the volume. Baking stopped 7am 24/9/21 so that heating elements could be repositioned. Baking restarted 10am.
Point 3 - Baking limited to 90C due to tent construction. Negative gradient detected due limitation causing baking to stop around 5pm 25/9/21. Manual mode to 60C. Full power mode restarted 10pm
Point 4 - Temperature of the volume reached 100C. 11am 27/9/21 baking stopped to install new turbo sections. Baking restarted at 3:25 pm Temperature peaks at 130C next morning.
Point 5 - 29/9/21 10am Unexpected power cut at CRYRING, temperature fell to 120C power restored shortly after.
Point 6 - 4/10/21 9am Temperature reduced to 100C and ion pumps outgassed. Activation of NEG pumps
Point 7/8 - Subsequent activation of other NEG pumps.
Point 9 - 7/10/21 CARME reached 9E-12 mbar. Gate valve closed, pressure rises with apparent floor at 1.5E-11 mbar
Some variations in the pressure are seen after reaching the floor, most likely due to sputtering of ion pumps.
Teething problems with the bakeout such as heater placement and new jacket sections in addition to the power cut prevented continous bakeout for entire period, these issues should not affect the next baking run. As a result of the slightly fractured bakeout the pumpdown power laws have been plotted (logP) against log(T) in sections where temperature was roughly constant with the associated timestamps to gauge the decrease in pressure over time using this power law (Attachment 4).
Room temp n ~ 0.75
90C n ~ 9.15
130C (1) n ~ 8.05
130C (2) n ~ 7.37
130C (3) n ~ 4.89
130C (4) n ~ 2.65
Introduction of kapton cabling likely causes change to scaling as the diffusion of gas from kapton not the desorption from the surface dominates the outgassing rate. Diffusion has an n ~ 0.5, likely contributing to the change between the blank chamber and mounted chamber. As the temperature is increased the outgassing is significantly increased causing an initial increase in the temperature followed by a more rapid decrease in the gradient of the pressure decrease over time than at lower temperatures. As time increases, outgassing from water in the chamber dominates less and less with the kapton cabling representing a more significant portion of the total outgassing load likely causing the decrease in n as time increases despite baking at 130C. After ~12000 minutes n decreases significantly and more variations from the linear decrease in pressure are observed. After 17000 seconds the pressure begins to plateu and reached a floor of ~1E-7 mbar over the weekend at which it was assumed outgassing from water in the chamber was no longer significant. Variations could be due to temperature fluctuations which had a greater impact on the pressure as the pressure began to reach the floor.
Activation of NEG pumps was conducted after reaching the floor. The average chamber temperature and air temperature inside the tent was unaffected by the activation of NEG pumps, however the internal thermocouples significantly increased during activation (attachment 5). The internal thermocuples were largely unaffected by the NEG activation until the NEG temperatures were themselves above ~300C after the internal temperatures rose rapidly (more detail in elog 105,108,109,110) . Activating so many NEG elements at once is thus not feasible as temperatures would damage the detectors once mounted. Activating one group at a time (typically 2 NEGs) and D2000's which are away from the detectors to start with and then maintaining at low power before slowly activating NEG's close to the detectors perhaps at a lower temperature will be done to keep temperatures below 130C. A full activation procedure will be posted to the elog before activating again. |
Attachment 1: GSI_fullpumpdown.png
|
|
Attachment 2: GSI_pumping.png
|
|
Attachment 3: Bakeout-elog.png
|
|
Attachment 4: fit-elog.png
|
|
Attachment 5: Activation-elog.png
|
|
130
|
Fri Oct 22 13:22:48 2021 |
TD | CARME FEE64 adaptor PCB |
|
Attachment 1: 3D.pdf
|
|
Attachment 2: Bottom_Ground_Pad.pdf
|
|
Attachment 3: Flex_Layer_1.pdf
|
|
Attachment 4: Flex_Layer_2.pdf
|
|
Attachment 5: Flex_Layer_3.pdf
|
|
Attachment 6: Flex_Layer_4_(1).pdf
|
|
Attachment 7: PCB_Bottom.pdf
|
|
Attachment 8: PCB_Top.pdf
|
|
Attachment 9: Silkscreen_Top.pdf
|
|
Attachment 10: Top_Ground_Pad.pdf
|
|
129
|
Fri Oct 22 13:11:26 2021 |
TD | MSL type TTT14 assembly drawings & pin assignments |
|
Attachment 1: C-3434.pdf
|
|
Attachment 2: C-3517.pdf
|
|
128
|
Thu Oct 21 20:26:44 2021 |
JM TD | Thermocouple and Connector cables |
NEG pump electrical connections tested - all connections sound.
Detector carriers have been redrilled and are currently being cleaned. Carriers were checked within the chamber, mounting much easier with new hole. Distances to NEG feedthroughs and thermocouple feedthrough from the carrier also checked. NEG feedthroughs have sufficient separation now moved, thermocouple required moving to top flange position to avoid collision with carrier.
Longer external thermocouples required for top position to reach TC08's. 3 Longer thermocouples made up using spare wire and connected to feedthrough. All thermocouples checked using baking code - all appear fine. We have 2 long thermocouples for the interaction chamber, 2 for the RE-72's and one for the top of the chamber left. Bellows can be reached by shorter thermocouple. This should be sufficient for baking.
Standoffs job submitted to workshop for production, may be available end of the week depending on schedules of workshop. Clamp guide and hook tools produced.
Cable connectors have started to be seperated and bound into 16 and 17 cable bunches to enable a good fit in strain reliefs. Upon inspection D-connectors were attached upside down and required flipping to correct position (see image - thumbs up indicates correct position). Cables fit through funnel strain reliefs well, other strain reliefs look more difficult - may require more hands to complete. One long connector has criss crossed wires which may not fit in strain reliefs and may require re-wiring a few cables and one long has broken D-connector. Broken ceramic can be replaced with new D-connector and other long connectors are available
Damaged detector removed from carrier and is in its box - awaiting delivery of other detectors.
Interaction chamber mounted on its frame in CRYRING as well
|
Attachment 1: 20211021_111319.jpg
|
|
Attachment 2: 20211021_173047.jpg
|
|
Attachment 3: 20211021_142901.jpg
|
|
Attachment 4: 20211021_173102.jpg
|
|
Attachment 5: 20211021_173107.jpg
|
|
Attachment 6: 20211021_173054.jpg
|
|
Attachment 7: 20211021_173047.jpg
|
|
Attachment 8: 20211019_114556.jpg
|
|
Attachment 9: 20211021_100650.jpg
|
|
Attachment 10: 20211021_111516.jpg
|
|
127
|
Mon Oct 18 16:25:11 2021 |
JM RSS TD | NEG rotation + cable removals |
Kapton cabling and the broken ceramic detector holder have been separated. Required holding jacking plate in a vice and using lots of propanol to wet the jacking screw. A long set of kapton cabling has been removed from the other side of the chamber which can be used when mounting the detectors. The feedthrough flange was re-mounted on that side with no kapton cabling.
The wiring for the NEG feedthroughs was in confilct with detectors in previous position. Feedthroughs have been moved to the DN40 flanges at the top and bottom of the chamber section. In order to wire the NEGs to the feedthroughs required rotating the NEGs one position anti-clockwise and re-wiring some of the connections.
Left two Getters are connected in series and are connected to the top feedthrough. The two right and the bottom getter are connected in series and are connected to the bottom feedthrough |
Attachment 1: Screenshot_20211018-174141_Signal.jpg
|
|
Attachment 2: 20211018_111130.jpg
|
|
Attachment 3: 20211018_100015.jpg
|
|
126
|
Sun Oct 17 11:48:48 2021 |
TD RSS | Sunday 17 October |
Looking downstream the RHS motor assembly has loose green/yellow (gnd?) see attachment 1
Install blank base plate to trial install in downstream CARME chamber. The rod is just through all of the mounting holes - see attachments 2 & 3
The rod, plate and block are close fitting.
The current position of the TC feedthrough is incompatible wit detector movement- the TC feedthrough should be moved.
Detector baseplate with 1x DSSSD installed - attachments 4 - 6, Cabling length looks OK.
Should move NEG power and TCs to top & bottom DN40 flanges to avoid conflicts with DSSSDs and cabling. |
Attachment 1: 20211017_124717.jpg
|
|
Attachment 2: 20211017_130436.jpg
|
|
Attachment 3: 20211017_130447.jpg
|
|
Attachment 4: 20211017_133051.jpg
|
|
Attachment 5: 20211017_133105.jpg
|
|
Attachment 6: 20211017_133229.jpg
|
|
Attachment 7: IMG_5146.JPG
|
|
Attachment 8: IMG_5145.JPG
|
|
Attachment 9: IMG_5144.JPG
|
|
Attachment 10: IMG_5143.JPG
|
|
Attachment 11: IMG_5142.JPG
|
|
Attachment 12: IMG_5141.JPG
|
|
Attachment 13: IMG_5139.JPG
|
|
Attachment 14: IMG_5140.JPG
|
|
125
|
Sat Oct 16 17:25:47 2021 |
RSS TD | Broken detectors |
One of the detectors was damaged while mounting (see pictures 1-3).
Second detector was found already damaged when opened (see pictures 4-5). |
Attachment 1: IMG_5098.JPG
|
|
Attachment 2: IMG_5097.JPG
|
|
Attachment 3: IMG_5096.JPG
|
|
Attachment 4: IMG_5106.JPG
|
|
Attachment 5: IMG_5105.JPG
|
|
124
|
Fri Oct 15 19:37:12 2021 |
RSS TD + DR | Friday 15 October |
Ring seal is out. See picture 1.
Bellow (towards CARME) was installed in the gas jet chamber. Attached are some pictures. |
Attachment 1: IMG_5059.JPG
|
|
Attachment 2: IMG_5060.JPG
|
|
Attachment 3: IMG_5061.JPG
|
|
Attachment 4: IMG_5062.JPG
|
|
Attachment 5: IMG_5064.JPG
|
|
Attachment 6: IMG_5068.JPG
|
|
Attachment 7: IMG_5071.JPG
|
|
Attachment 8: IMG_5073.JPG
|
|
123
|
Thu Oct 14 15:54:47 2021 |
TD RSS | Thursday 14 October |
Attachment 1 - what is function of the 4x c/s holes indicated?
DSSSD install sequence
1 add 136 - 2x78 way cable harnesses to DSSSD mount via strain relief
2 add DSSSD to mount
3 connect 136 D connector
4 install in CARME chamber
5 connect 2x78 D connectors and strain relief to 2x78 way D connector
feedthroughs
Attachment 2- pins of one of the four feedthroughs were damaged. Needs to be replaced. |
Attachment 1: IMG_5056.JPG.jpg
|
|
Attachment 2: IMG_5053.JPG
|
|
122
|
Wed Oct 13 15:28:22 2021 |
RSS TD + OG | Split funnels |
Split funnels installed on 4 (of 8) 2x78 way D connector feed-throughs. |
Attachment 1: IMG_5038.JPG
|
|
Attachment 2: IMG_5039.JPG
|
|
Attachment 3: IMG_5040.JPG
|
|
Attachment 4: IMG_5041.JPG
|
|
Attachment 5: IMG_5042.JPG
|
|
Attachment 6: IMG_5043.JPG
|
|
Attachment 7: IMG_5044.JPG
|
|
121
|
Wed Oct 13 15:16:12 2021 |
TD | Orientation of 2x 78 way D connector feedthroughs |
Looking downstream
Attachment 1 top right pair
2 bottom right pair
3 bottom left pair
4 top left pair
PB "The long sides of the connectors on the 2 lowest flanges should be next to each other
and the short side of the connectors should be towards the motors."
The orientations of the other flanges do not matter.
'Long' cables to L-R connectors, 'short' cables to U-D connectors. |
Attachment 1: 20211013_152518.jpg
|
|
Attachment 2: 20211013_152529.jpg
|
|
Attachment 3: 20211013_152549.jpg
|
|
Attachment 4: 20211013_152606.jpg
|
|
120
|
Wed Oct 13 12:06:09 2021 |
RSS TD | Flanges cleaned |
RSS & TD had some success cleaning the COF600 flange surfaces of the staining and residues observed when the downstream COF600 section was opened yesterday
https://elog.ph.ed.ac.uk/CARME/118
Isopropanol and laboratory tissues were used - most but not all of the staining and the residues were removed. |
Attachment 1: IMG_5011.JPG
|
|
Attachment 2: IMG_5012.JPG
|
|
Attachment 3: IMG_5013.JPG
|
|
Attachment 4: IMG_5014.JPG
|
|
Attachment 5: IMG_5015.JPG
|
|
Attachment 6: IMG_5016.JPG
|
|
Attachment 7: IMG_5018.JPG
|
|
Attachment 8: IMG_5019.JPG
|
|
Attachment 9: IMG_5020.JPG
|
|
Attachment 10: IMG_5021.JPG
|
|
Attachment 11: IMG_5022.JPG
|
|
Attachment 12: IMG_5023.JPG
|
|
119
|
Wed Oct 13 11:59:23 2021 |
TD | [How to] Open COF600 copper wire seals |
Use the M10 jacking points provided top/bottom and
left/right on the upstream and downstream sides of the
flanges - for example see attachments 1 & 2 |
Attachment 1: 20211013_103806.jpg
|
|
Attachment 2: 20211013_103800.jpg
|
|
118
|
Tue Oct 12 13:54:21 2021 |
RSS TD + OD DR | CARME opened |
With the assistance of Oemer and Davide all of the M22 bolts removed from the downstream section of the CARME chamber. To separate the downstream section from the
upstream sections it was necessary to use a lever between M10 bolts screwed into the lift points of adjacent sections of the CARME. The upstream sections were then
lifted from the support frame and placed on the shipment crate base. The open ports of the CARME chamber sections were covered by Al foil.
Attachments 1-3 show downstream section following removal of upstream sections of CARME chamber. Note staining/residue top and bottom right - attachments 2 & 3. |
Attachment 1: 20211012_143212.jpg
|
|
Attachment 2: 20211012_143221.jpg
|
|
Attachment 3: 20211012_143229.jpg
|
|
Attachment 4: IMG_5002.JPG
|
|
Attachment 5: IMG_4981.JPG
|
|
Attachment 6: IMG_4982.JPG
|
|
Attachment 7: IMG_4987.JPG
|
|
Attachment 8: IMG_4989.JPG
|
|
Attachment 9: IMG_4994.JPG
|
|
Attachment 10: IMG_4999.JPG
|
|
Attachment 11: IMG_4977.JPG
|
|
Attachment 12: IMG_4996.JPG
|
|
Attachment 13: IMG_5001.JPG
|
|
Attachment 14: IMG_5002.JPG
|
|
Attachment 15: IMG_5003.JPG
|
|
Attachment 16: IMG_5004.JPG
|
|
Attachment 17: IMG_5005.JPG
|
|
Attachment 18: IMG_5006.JPG
|
|
Attachment 19: IMG_5007.JPG
|
|
Attachment 20: IMG_5008.JPG
|
|
117
|
Tue Oct 12 09:22:37 2021 |
RSS TD + OG DR | Motors problem solved |
Neg and ion cables were taken off and were put in the box.
Top support was taken off.
Motors are rotating again. Have to be careful with the position of the limit switches. |
Attachment 1: IMG_4971.JPG
|
|
Attachment 2: IMG_4970.JPG
|
|
116
|
Mon Oct 11 11:24:16 2021 |
RSS TD ML | Valve support and cable labeling |
Split funnels were given to Oemer for cleaning. Have received them back after lunch.
Valve support is installed again (see picture 1).
Ion pump was connected but not activated. Michael will help in doing so. No vacuum support to help will RGA. Will proceed without it.
Neg and ion cables were labeled (see pictures 2 and 3).
Chamber was vented using nitrogen.
|
Attachment 1: IMG_4962.JPG
|
|
Attachment 2: IMG_4969.JPG.svg
|
|
Attachment 3: IMG_4968.JPG.svg
|
|
115
|
Sat Oct 9 09:47:33 2021 |
CB | To do list for next week |
0. Give split-funnels to OG or Grossmontage to clean. Mount back foot support for gate valves. Critical when we split.
1. Wait for vacuum division to come to install: IE514 cables to current CM52 module, ion pump cables + controls + turn on, RGA head + program + turn on
2. Vacuum division should also bring N2 gas to vent CARME. Ideally vent by Wed to avoid losing time. Remember to disable ion pumps and remove all cables when venting.
3. While waiting to vent, connect pneumatic hoses to motors box in CARME rack. There is one pneumatic in (central feedthrough) and four pneumatic out. See picture attached. Top two go to left pneumatic motor, bottom two go to right pneumatic motor. Of each set of two, one is for extending the motor and one is for retracting but unclear which is which. Try to connect and operate motor manually by pressing on buttons on top of pressure reducer to ensure everything works. Don't forget to remove the safety pin.
4. Some disassembly of the servo motors may be required to get them operational. Use the Windows ClearView program provided by Teknic to control servos and change the function of the limit switches. Download from https://teknic.com/downloads/ -> ClearPath -> Software -> ClearView Install.zip . There is a Linux version but I have never tested it. The code on the raspberry Pi cannot reproduce some of the functions in the official program due to library limitations (but can do other things the official program cannot do).
5. After venting CARME, split open at the COF600 flange close to the magnet. Open CARME by 1-2 cm, remove spherical washers from top of rail studs, and lift with crane. Make sure knife edges of the two halves do not touch. CARME currently has feet mounted and cannot easily be placed on a standard pallet. Either dismount the feet (not easy to remount), or find a pallet on which CARME can land on its belly only, or place CARME on pallet with feet and screw feet down? Discuss strategy with DR asap.
6. Install split-funnels on flanges/wire harnesses in use (no point installing on other flanges). This may require dismounting top flanges. Probably switch from 3 hole to 4 hole configuration with funnels.
7. Test detector support going to actuator rod without detector. Make sure you have all the parts, including bolt to secure support to rod. You may want to push the rod in via pneumatic motor (or by hand pushing the plate outside) to gain better access.
8. Take detector support on table, install *two* detectors on same support, and mount on actuator rod in XHV. Connect wires and close via jacking plates. Optionally, consider mouting empty support on other rod? May be useful for diagnostic/scraping?
9. Move XHV thermocouple feedthrough to top flange and connect one thermocouple per detector, one to the support, and leave one floating inside
10. If the motors are fully operational, check how far/close they can be actuated from chamber wall. If not, take some dimensions for future reference.
11. Lift CARME back in place. Close using Pfeiffer COF600 seal and ~72 Nm force per bolt. 80 Nm were required in DL. Probably get Grossmontage to do this. Use our long torque-wrench.
12. Connect to interaction chamber via bellows. Beware gaskets on one side are non standard. One appropriate gasket should be on top of bellows box.
13. Reconnect pumps, rebuild octagon, reconnect thermocouples, rebuild baking tent, bake. Make sure to label the NEG cables this time!
14. Activate NEG pumps following this recipe. ALWAYS monitor temperature and be ready to stop if detector temperature reaches 120 C. NEG temperature does not drop immediately, don't wait until it is too late.
If temperature is increasing too quickly (check rates/h), decrease activation power in increments of 25 W. Remember to flash ion pumps.
A. Start from D2000. Activate one at a time with T 400 C, t rise 30 min, t hold 90 min. Activating a second D2000 while the first has reached its hold state seems safe. Leave at 250 C to keep clean.
B. Continue with UVH1400 furthest from the detector (DSSD wall chamber). Activate at P 200 W, t rise 30 min, to hold 90 min. Finish one set of two before moving to the second. Leave at 50 W to keep clean.
C. Continue with UHV1400 in intermediate chamber. Activate at P 150 W, rest as above. Leave at 50 W to keep clean.
D. Continue with 2xUHV1400 closest to detectors. Activate at P 70 W, rest as above. Leave at 50 W to keep clean.
E. Finish with 3xUHV1400 closest to detectors. Activate at P 90 W, rest as above. Turn all NEGs off when activation of 3x is complete.
F. Let chamber cool. Activate ion pumps at room temperature. Section off turbo pump. |
Attachment 1: Capture.PNG
|
|
114
|
Sat Oct 9 09:44:36 2021 |
CB RSS | Heaters removed + motors tests |
Removed thermocouples, heaters, RE72 modules and leftover baking tent. Support octagon removed except topmost part which requires disconnecting pneumatic hoses going to top gate valve. To be done on Monday with ML assistance.
Attempted to move servo motors once more - did not work. The motors appear stuck but it is not clear how or where. Removed screws on flanged ball nut and on motor back. Still stuck. Moving by hand is not possible. Code likely complains about excessive force on motor startup. Will discuss with PB. Some dismounting once back in air may be required. |
113
|
Fri Oct 8 17:56:35 2021 |
CB RSS | Motors test |
Attempted first motor test in XHV. Wired and connected limit switches and string potentiometer.
Set up raspberry pi to control motors (.124). Set up pi for remote headless access.
Moved jumper on Teknic hub from 1 to 2 motors configuration.
Linux code only sees one motor and gives issues due to improperly set up limit switches.
Switched to Windows Clear path program. Left servo motor refuses to move and sounds stuck. Unable to move by hand - unclear how braking is still active.
Right servo motor initially moves, but got stuck after one move, on limit switch. Complain about sensorless startup which refers to low bias or excessive torque. The second looks more likely from the display but unclear why. Brake engaged for this motor as well? Unable to move by hand even after disconnecting all cables.
Removed safety pin from bellows (compressed air still missing, to be fixed next week). Motors move in. Pressure from 2E-12 to 3.5E-12 mbar and quickly recovers (seconds). Subsequent move cause smaller pressure fluctuation. All good.
Will contact PB too figure out issue with motors. Problem unclear. Might require some dismounting.
|
Attachment 1: 20211008_184731.jpg
|
|
Attachment 2: 20211008_184731.jpg
|
|
112
|
Thu Oct 7 08:40:09 2021 |
CB RSS | CARME in XHV |
CARME reached 9E-12 this morning
Ion pumps on
No change in pressure.
Gate valve closed. Turbo sectioned off.
Pressure spikes (normal). Waiting for it
to recover.
Will try to get rga operational later
today. |
111
|
Wed Oct 6 15:36:14 2021 |
CB RSS | Neg activation tests -3 |
Attempted to activate 2xUHV1400 connected to bottom C4, channel #2 using P 200 W, t rise 30 min, t hold 90 min.
Temperature of Kapton bundle increased drastically to 160+ C. Had to stop. Conclusion is that these are likely
the two UHV1400 on the bottom of CARME, immediately in front of the Kapton bundle.
Left the chamber to cool for a while. Baking temperature set to 85 C.
Repeated attempt using above parameters except P 150 W. Too hot. Had to decrease P to 75 W to remain below 150 C
on the Kapton bundle. Temperature on internals increases slowly, even with P 75 W.
Lesson learned: activate the UHV1400 next to the detectors *last*. Once on, temperature increase on the
detectors can be reduced but not stopped.
Attempted to activate 2xUHV1400 on bottom C4, channel #3 using P 200 W, t rise 30 min, t hold 90 min. These
cannot be close to the detectors.
Possibly due to interference with #2 being on hold, temperature of internals starts increasing. Reduced P to 125
W. OK.
Second lesson learned: temperature on internals is very hard to control once the UHV1400 close to them are
activated. Activate other UHV1400 first.
Set #2 to hold 50 W. Set #3 to hold 50 W.
Attempted to activate *3*xUHV1400 on bottom C4, channel #4 using P 120 W, t rise 30 min, t hold 90 min. These
are definitely close to the detectors.
Temperature increase modest but noticeable. Activation completed before temperature increases
too much.
17:30 German time
Activation complete. All NEGs off simultaneously.
Heating power off.
CARME slowly cools down (<10 C/h)
Opened some parts of the jacket to allow better cooling (still <10 C/h)
Pressure reaches E-11 around 19:00
SIP elements in D2000 still at 120 C. Too hot to turn on.
SIP elements will be turned tomorrow morning. That should conclude this baking cycle. |
110
|
Wed Oct 6 09:47:30 2021 |
CB RSS | Neg activation tests -2 |
Attempted activating 2xUHV1400 connected to top C4, channel #3 with P 300 W (450 C?), t rise 30 min, t hold 90
min as yesterday
Power reach 300 W, hold. Temperature increased by 5C. OK.
Activated top C4, channel #4 using same set of parameters. Internal temperature increases significantly, up to
140C and still increasing.
Decreased power on channel #2 to 100 W (down from 200 W yesterday). Should correspond to 350 C or so.
Temperature still increasing.
Decreased power on channels #3 and #4 to 250 W (425 C?). Temperature stops increasing. Around 155C on kapton
bundle. OK for kapton, detectors would have broken.
Baking code detected temperature on internals >150 C and stopped baking for safety. Temperatures do not change -
heating provided by NEGs more than enough to maintain current status.
Decreased power on channel #2 to 50W (250 C?). Temperature on internals decreases slightly. No major effect.
This is likely because the UHV1400 corresponding to #2 are far from the detectors, while #3 and #4 are closer.
Decided to complete activation of #3 and #4 using 250 W. Set both to 50C after completion. Temperature of
internals decreases quickly.
Re-activated baking code when temperature on all internals <150 C. Setpoint 85C on RE72-1 and 95 on -2.
Now waiting for internals to cool down. Will activate remaining 3 sets (2+2+3 UHV1400) later today with P 200 W
(400 C?) t rise 30 min, t hold 90 min.
We know the set of 3 UHV1400 is definitely close to the kapton bundle. P 300 W (100x3) for that set. |
109
|
Wed Oct 6 09:39:59 2021 |
PB CB | Original dirty assembly of CARME internals |
PB found pictures of the original dirty assembly, before screws and components were shipped to DL.
See attached. |
Attachment 1: 20210202_121656.jpg
|
|
Attachment 2: 20210202_122403.jpg
|
|
Attachment 3: 20210202_122522.jpg
|
|
Attachment 4: 20210202_123119.jpg
|
|
Attachment 5: 20210202_123124.jpg
|
|
Attachment 6: 20210202_123500.jpg
|
|
Attachment 7: 20210202_124224.jpg
|
|
108
|
Tue Oct 5 17:30:01 2021 |
CB RSS | NEG activation tests |
Found D2000 #1 activated and at 250C (25W)
to prevent getting dirty when other NEGs
are activated. See previous entries.
Attempted activation of D2000 #2 using T
390C , rise time 30 m, hold time 90 m
After 30 m temperature inside chamber
increased by 5C. 390 C corresponds to 75
W.
Attempted activation of D2000 #3 while #2
is holding temperature. Same parameters as
above except T 400.
After 30 min, temperature in chamber up by
10 more C to 110.
Attempted activation of #4 as above.
Set #2 then #3 then #4 to 250 C after hold
time is over to protect them. All D2000
activated. Max temperature on Kapton
bundle 110 C.
Attempted activation of 2xUHV1400 linked
to top C4, channel 2.
No thermocouple available. Used power 200
W (2x100) rise time 30 m and hold time 90
min. Upon reaching 200 W no effect on
chamber interior temperature. Increased to
300 W. Temperatures increased to 120C max
roughly. Acceptable.
Had to decrease hold time to 60 m to avoid
remaining in cave alone for too long.
Likely okay with 300 W (450 C?). Left on
150W (400C?) to protect from subsequent
activations tomorrow.
Because cables are unlabeled it's not
clear how far the activated UHV1400 are
from the detectors. If close, this recipe
works. If far, we may have to use lower
power for other modules. |
Attachment 1: 20211005_131259.jpg
|
|
Attachment 2: 20211005_171403.jpg
|
|
Attachment 3: 20211005_161317.jpg
|
|
107
|
Tue Oct 5 11:44:11 2021 |
CB, TD | SAES manuals & cable drawings |
NEG power
SIP power
UHV1400 operating instructions |
Attachment 1: NEG_POWER_user_manual.pdf
|
|
Attachment 2: operating_instructions_SIP_POWER.pdf
|
|
Attachment 3: operating_instructions_UHV1400-UHV700_wafer_modules.pdf
|
|
Attachment 4: RFION9901221.pdf
|
|
Attachment 5: RFKIT9903220.pdf
|
|
Attachment 6: RFKIT9904220_(2).pdf
|
|
Attachment 7: RFKIT9905220_(2).pdf
|
|
Attachment 8: RFNEG9904221.pdf
|
|
106
|
Mon Oct 4 20:30:46 2021 |
RSS JM CB (remotely) | CARME baking status -21 |
Current temperature ~95 C (on manual mode)
See plot and screen attached.
Current pressure 2.86E-10
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-04_21-28-28.png
|
|
Attachment 2: Screenshot_from_2021-10-04_21-29-37.png
|
|
105
|
Mon Oct 4 09:19:11 2021 |
JM RSS | Activation of NEG elements |
9:30
Ion pumps de-gassed by switching on and off multiple times. Large pressure increase the first time pumps were flashed, pressure recovers quickly once pumps off. Subsequent flashing of ion pumps showed a significantly smaller pressure increase, unitl little increase was seen.
see http://web-docs.gsi.de/~lestinsk/vacplot.php
Pressure ~3E-8 mbar
10:00
Top NEG controller activated. This controller controls 6 NEG wafers connected in groups of two. NEG wafers connected in two's have the following settings which were utilised in Daresbury
Ramp Time = 45 minutes
Hold Time = 60 minutes
Vmax = 100 V
Imax = 5A
Pmax = 400W
(See attachment 4)
Settings for the NEG wafers connected in a group of three (white tape on cable) in the moving detector chamber are the same as above but with Pmax = 600W. (See attachment 3)
Settings for the D2000's (kapton tape on cables) are the same used in Daresbury and are;
Ramp Time = 45 minutes
Hold Time = 60 minutes
Vmax = 65 V
Imax = 3A
Pmax = 254W
Tmax = 500 C
(See attachment 1 and 2)
11:44
Activation of first set of NEG's completed. The temperature of internal thermocouples went above 150C during activation so power to NEG elements was reduced during activation to 125W per module. NEG's fully OFF to allow internal temperatures to cool. Modules will be activated alone to try and find way of activating NEG's without high temperatures.
Current bakeout temperature is 95C
Pressure ~ 8E-9 mbar
13:10
All NEG's off. Bakeout Temperature is 95C, internal temperature are ~102C.
Pressure = 5.8E-10 mbar
Activating a single D2000 NEG pump as they contain in built thermocouples to gauge the effect on internal temperatures.
14:53
Activation complete for single D2000 up to 500C. Most internal thermocouples remained <110C during activation with one going up to 140C. Temperature of internal thermocouples largely unaffected by the NEG activation untill above 400C NEG temperature. D2000 element will be maintained at 250C for activation of other elements.
Internal temperatures fallen back to ~102C
Pressure <4E-10 mbar
Would recommend activating elements one at a time with a 20-30 minute ramp and limiting power for NEG modules to ~100W per module. |
Attachment 1: IMG_4880.JPG
|
|
Attachment 2: IMG_4881.JPG
|
|
Attachment 3: IMG_4882.JPG
|
|
Attachment 4: IMG_4883.JPG
|
|
104
|
Mon Oct 4 06:34:58 2021 |
RSS JM CB (remotely) | CARME baking status -20 |
Current temperature ~110 C (on manual mode)
See plot and screen attached.
Current pressure 3.20E-8
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-04_07-33-14.png
|
|
Attachment 2: Screenshot_from_2021-10-04_07-34-14.png
|
|
103
|
Sun Oct 3 08:36:01 2021 |
RSS JM CB (remotely) | CARME baking status -19 |
08:36
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure 1.41E-7
19:42
Temperature is being slowly reduced (<10C/h) to ~100C in order to activate NEG pumps Monday morning.
Current pressure ~1.2E-7 and will begin to drop.
23:20
Temperature set point 110C.
Current pressure ~5E-8
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-03_09-33-30.png
|
|
Attachment 2: Screenshot_from_2021-10-03_09-34-24.png
|
|
102
|
Sat Oct 2 22:14:14 2021 |
RSS JM CB (remotely) | CARME baking status -18 |
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure 1.60E-7
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-02_23-12-09.png
|
|
Attachment 2: Screenshot_from_2021-10-02_23-13-16.png
|
|
101
|
Sat Oct 2 08:26:49 2021 |
RSS JM CB (remotely) | CARME baking status -17 |
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure 1.73E-7
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-02_09-25-40.png
|
|
Attachment 2: Screenshot_from_2021-10-02_09-24-44.png
|
|
100
|
Fri Oct 1 21:47:51 2021 |
CB (remotely) | CARME baking status -16 |
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure 2.03E-7
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: screen.png
|
|
Attachment 2: Temps.png
|
|
99
|
Fri Oct 1 07:17:46 2021 |
RSS JM | CARME baking status -15 |
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure ~2.30E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-10-01_08-17-02.png
|
|
Attachment 2: Screenshot_from_2021-10-01_08-16-41.png
|
|
98
|
Thu Sep 30 18:16:52 2021 |
RSS JM | CARME baking status -14 |
Current temperature ~132 C (on manual mode RE72-1: 132 C, RE72-2: 130 C)
See plot and screen attached.
Current pressure ~3.30E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-30_19-18-44.png
|
|
Attachment 2: Screenshot_from_2021-09-30_19-18-08.png
|
|
97
|
Thu Sep 30 06:29:39 2021 |
RSS JM | CARME baking status -13 |
Current temperature ~130 C (on manual mode)
See plot and screen attached.
Current pressure ~4.07E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-30_07-29-02.png
|
|
Attachment 2: Screenshot_from_2021-09-30_07-28-09.png
|
|
96
|
Wed Sep 29 15:34:07 2021 |
RSS JM | CARME baking status -12 |
Current temperature ~130 C (on manual mode)
See plot and screen attached.
Current pressure ~4.51E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-29_16-32-50.png
|
|
Attachment 2: Screenshot_from_2021-09-29_16-31-48.png
|
|
95
|
Wed Sep 29 13:13:51 2021 |
JM RSS PB(remotely) | Detector frame assembley |
29/9/21
We have opened the cleaned parts for assembley of the moving detector frames. We appear to have everything we require.
Standoffs - 12 M3x35 bolts, Many M3 washers, Many M3 nuts, 16 standoff tubes.
Heatshield - 46 M2 nuts, 46 M2x20 bolts, 16 M2 washers
Strain reliefs - 20 M4x35 bolts, Many M4 washers.
Strain relief supports - 30 M4x10 hex head bolts, Many M4 washers
Clamp - 2 M6x10 bolts
Upon attempting to assemble standoffs M3 bolts became stuck and could not be removed. A similar issue occurred with the MACOR assembley a few weeks ago. The detector frames have been given to Omer along with a diagram of the correct hole sizes to check and re-drill holes if neccessary. Frames will then be cleaned. We expect to get them back tomorrow to continue assembley.
30/9/21
Bolt hole sizes were checked and appear to be correct, no re-drilling required. Detector frames cleaned after stuck bolts were removed. Holes were checked again using M3 and M4 bolts, none became stuck. The heatshields, strain supports and clamp were assembled on the frames. Remaining pieces and bolts are accounted for and can be assembled when required. |
Attachment 1: new_carrier_simple_holes.PDF
|
|
Attachment 2: Screenshot_20210929-110343_Telegram.jpg
|
|
Attachment 3: 20210930_164625.jpg
|
|
Attachment 4: 20210930_164650.jpg
|
|
94
|
Wed Sep 29 09:27:38 2021 |
CB (remotely), RSS JM ML | Power cut |
Sudden and unexpected power cut at 10:02 German time, affecting all the target hall.
It has been caused by the emergency alarm being triggered. Reasons unclear. Electricians were informed.
CARME top gate valve closed.
10:33 power is back
Set point 120C
Scroll on, turbo on (980 Hz normal operation), valve open
Pressure at 1.34E-6 mbar
No obvious issues.
13:00
Power appears back for the whole ring.
Set point 128C
Turbo normal operation - no apparent adverse effects of shutdown
Pressure at ~3E-7 mbar
Pi can again be accessed by ssh. Vacuum plot is updating again. |
93
|
Wed Sep 29 06:49:41 2021 |
RSS JM | CARME baking status -11 |
Current temperature ~130 C (on manual mode)
See plot and screen attached.
Current pressure ~6.08E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-29_07-48-03.png
|
|
Attachment 2: Screenshot_from_2021-09-29_07-48-36.png
|
|
92
|
Tue Sep 28 18:53:13 2021 |
RSS JM | CARME baking status -10 |
Current temperature ~130 C (on manual mode)
See plot and screen attached.
Current pressure ~9.98E-7 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-28_19-54-16.png
|
|
Attachment 2: Screenshot_from_2021-09-28_19-51-19.png
|
|
91
|
Tue Sep 28 12:18:54 2021 |
RSS JM | CARME baking status -9 |
Current temperature ~130 C, was set to 130 C on manual mode around 10:00 am
See plot and screen attached.
Current pressure ~1.37E-6 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-28_13-17-51_1.png
|
|
Attachment 2: Screenshot_from_2021-09-28_13-18-18.png
|
|
90
|
Tue Sep 28 06:40:22 2021 |
RSS JM | CARME baking status -8 |
Current temperature ~120 C, increasing
See plot and screen attached.
Current pressure ~1.07E-6 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-28_07-38-53.png
|
|
Attachment 2: Screenshot_from_2021-09-28_07-39-22.png
|
|
89
|
Mon Sep 27 21:33:50 2021 |
CB (remotely) | CARME baking status -7 |
Current temperature ~87 C, increasing
See plot and screen attached.
Thermocouple on CARME foot side (1-4) may have come loose judging from sudden jump in temperature at 14:30?
Improvement to blanket seem to have significant effect.
Current pressure ~3.5E-8 increasing
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Temps.png
|
|
Attachment 2: Screen.png
|
|
88
|
Mon Sep 27 17:53:35 2021 |
JM RSS | Baking Jacket upgrade + NEG controllers connected |
Additional baking jacket sections for the turbo section arrived today. Baking was halted at ~11:00 to allow for the chamber to cool to install new sections. New sections installed and baking restarted at 15:25. Jacket fits much better with gaps near the turbos and the motors now covered much better. Attached are some photos.
While heaters were off, the pressure fell to ~3E-9 mbar and was continuing to fall before baking was restarted.
Current baking temperature is ~75C and code is in automatic mode.
The NEG and motor controllers have been installed on the rack next to the chamber. See attached. The bottom NEG controller is connected to the 4 D-2000 NEG-ion pumps. The cables for the D-2000s have kapton tape attached. The middle NEG controller is connected to the NEG pumps whose feedthroughs are on the chamber on the inside of the ring. One of the connections on the middle controller is for the 3 NEGs connected together oppose to the usual 2. This connection is marked by white tape. The top controller is connected to the feedthrough who are on the side of the chamber on the outside of the ring. |
Attachment 1: 20210927_151650.jpg
|
|
Attachment 2: 20210927_151706.jpg
|
|
Attachment 3: 20210927_151721.jpg
|
|
Attachment 4: 20210927_170139.jpg
|
|
87
|
Mon Sep 27 11:09:48 2021 |
CB (remotely) | Temperature vs Pressure plot |
Attached. |
Attachment 1: Temps.pdf
|
|
86
|
Mon Sep 27 06:42:45 2021 |
RSS JM | CARME baking status -6 |
Current temperature ~102 C. Was set in the manual mode since last evening 18:54.
See plot and screen attached.
Current pressure 3.62E-7
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-27_07-41-06.png
|
|
Attachment 2: Screenshot_from_2021-09-27_07-41-39.png
|
|
85
|
Sun Sep 26 17:20:13 2021 |
RSS JM CB (remotely) | CARME baking status -5 |
Current temperature ~105 C
See plot and screen attached.
Current pressure 6.08E-7
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Screenshot_from_2021-09-26_18-19-26.png
|
|
Attachment 2: Screenshot_from_2021-09-26_18-18-23.png
|
|
84
|
Sun Sep 26 07:41:00 2021 |
CB (remotely) | CARME baking status -4 |
Current temperature ~87C
See plot and screen attached.
Note Baking code restarted yesterday evening.
Current pressure 2.1E-7 increasing
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Temps.png
|
|
Attachment 2: Screen.png
|
|
83
|
Sat Sep 25 19:01:50 2021 |
CB (remotely) | CARME baking status -3 |
Baking automatically stopped due to negative gradient in on thermocouple for 10 minutes straight.
Not surprising giving we were baking at full power bu making little progress. We should have switched to manual
mode.
Switched to manual mode for now at 60 C to recover temperature without excessive gradients.
Will restart automatic mode later today. Should be back to full power tomorrow morning.
EDIT
21:42 CET - Temperature stabilised. Restarted automatic mode. |
Attachment 1: Temps.PNG
|
|
82
|
Sat Sep 25 07:23:06 2021 |
CB (remotely) | CARME baking status -2 |
Current temperature ~90 C
However note setpoint is at 102 C. It appears we are baking at full power, but too many heat losses?
Temperature gradient still increasing. May just be a matter of time.
See plots attached
Current pressure ~ 1.9E-6 mbar decreasing
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
and
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: temp_plot.png
|
|
Attachment 2: temps.png
|
|
81
|
Fri Sep 24 15:36:34 2021 |
CB (remotely) | CARME baking status |
Current temperature ~64 C
See plots attached
Current pressure ~ 2.5E-6 mbar
See
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?rtime=OK4kVZKB2Ug
and
http://web-docs.gsi.de/~lestinsk/vacplot.php |
Attachment 1: Temp.png
|
|
Attachment 2: Temps.png
|
|
80
|
Fri Sep 24 09:44:58 2021 |
JM ML | Vacuum gauge readout |
The analog readout of the Combivac controller for the IE514 ion gauge has been set up, readings are plotted to http://web-docs.gsi.de/~lestinsk/vacplot.php. Readings and plot should be updated every minute. |
79
|
Fri Sep 24 08:47:36 2021 |
JM RSS CB(Remotely) | Baking |
Baking halted on 23/9/21 16:16 as connection to TC08 module was lost. USB cable connection to TC08 module appears to have been damaged in shipment. USB hub and cable replaced, connection re-established and baking restarted 17:27.
Ramping of baking limited to 40C by the maximum difference between parts of the chamber being too high, this safety feature prevents high thermal gradients. Limitation caused by uneven heating due to placement of heating elements resulting in the gate valve end of the chamber being much cooler than the rest of chamber. The pressure increased to ~8e-7mbar at 40C due to increased outgassing. Baking stopped at 07:00 24/9/21, heating elements were repositioned and the baking jacket reassembled. Code recompiled to include the rate and temperatures limits from elog 76.
Baking restarted at 10:00 |
78
|
Fri Sep 24 07:04:57 2021 |
RSS JM | IE 514 pin arrangement and degas instructions |
Fabre (German) Color (English)
grau grey
blau blue
rot red
braun brown
weiss white
rosa pink
gelb/gruen yellow/green |
Attachment 1: IE514_pin_arrangement.JPG
|
|
Attachment 2: degas_function.jpg
|
|
77
|
Thu Sep 23 14:19:27 2021 |
CB (remotely) | Checking baking remotely - instructions |
1. Connect to pi on CRYRING local network
ssh pi@192.168.207.127
Password available on request
2. To check state of baking code
screen -x
please do not press any keyboard button if unsure how the code works.
Pressing q instantly stops baking in case of emergencies
when done, to disconnect
CTRL+A
d
3. To check log of commands / previous status of baking code
cd Programs/Baking
cat Log.dat
4. To check temperature plot
cd Programs/Baking
gnuplot Plot_temps.gp
CTRL+C on terminal to quit
Please remember the Pi has limited computing power and the gnuplot window will consume some of that when open
One remote user is no problem, but multiple may give issues to the Pi
5. Check current pressure
Currently:
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?e=hEoZt7
(Pictures from webcam are in ~/Webcam on the pi
CM52 data also to be available online in real time soon) |
Attachment 1: Temp.png
|
|
76
|
Thu Sep 23 13:31:12 2021 |
JM RSS ML | IE514 Gauge and Bakeout |
CARME setup checked by vaccum group this morning.
Both IE514 gauges de-gassed. To de-gas on the CM52 controller select the channel and hold the right arrow for two seconds. The reading will flash indicating the de-gassing procedure, this lasts for 2 minutes before completing. Flashing automatically stops once procedure finishes. Attached for convinience is the wire colour-pin assignment for the gauge head again.
Baking jacket fully assembled around CARME and baking code updated. Temperature and rate limits updated to match thermocouples around the chamber.
Max Temperature Max Rate
Chamber 155C 10C
Floating 175C 150C
Octagon (outside tent) 50C 10C
Automatic baking started at 13:50, pressure was 1.69e-7 mbar |
Attachment 1: 20210923_113239.jpg
|
|
Attachment 2: 20210923_134339.jpg
|
|
Attachment 3: 20210923_134355.jpg
|
|
Attachment 4: 20210923_135954.jpg
|
|
75
|
Wed Sep 22 14:44:51 2021 |
JM RSS ML | CARME leak test + bakeout tent |
On 21/9/21 CARME was connected to the leak tester. Leak testing showed no apparent leaks, extra time required to reach <1e-4 mbar during initial turbo pumpdown might be due to outgassing from additional installed components on the chamber. Turbo pump restarted
21/9/21 16:28 9.73e-7 mbar
21/9/21 16:48 8.92e-7 mbar
21/9/21 17:28 7.88e-7 mbar
elog 74 contains the pressure taken every hour after this point from the webcam.
NEG and NEG-ion cables for controller modules connected to feedthroughs on the CARME chamber. Feedthroughs have been electrically checked and are OK.
CARME baking jacket constructed around chamber. Thermocouples in place around the chamber. Vacuum group are coming tomorrow morning to inspect and de-gas installed gauge, after they are finished we mount final pieces of baking jacket and begin baking.
|
Attachment 1: 20210922_145646.jpg
|
|
Attachment 2: 20210922_145933.jpg
|
|
Attachment 3: 20210922_151728.jpg
|
|
Attachment 4: 20210922_152052.jpg
|
|
Attachment 5: 20210922_152113.jpg
|
|
74
|
Wed Sep 22 08:30:08 2021 |
CB (remotely) | CARME pressure during first XHV test |
CARME pressure moved to
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EVbsVOubNHRLj0PKiFIvd00BClMJ6WSJ1UDAyLA2F3R4jA?e=hEoZt7
Some photos overnight are over-exposed and pressure cannot be read.
Might be able to fix with image processing if needed, but likely not worth the time.
Baking started: 23/09/2021 14:00
Photo frequency changed to once per 10 minutes. |
73
|
Tue Sep 21 08:20:39 2021 |
ML | CARME installations |
Quote: |
Ion pump, RGA and additional gauge installed on the chamber.
Our gauge is the one mounted above the NEG feedthrough, Michael's gauge is mounted below the feedthrough. Ion pump too large to install on the top of the vessel, sufficient room on flange immediately next to this top flange.
Baking frame assembled around chamber, all required components fit within the baking volume. Insulation on baking tray and heaters set up and connected to pi controller.
Pumpdown begun at 16:30. Turbopump on at 16:40. Unable to reach the required pressure for the hot cathod gauge before leaving the lab ~18:10. Suggests possible leak, pressure and leak check tomorrow morning.
MACOR strain relief and plastic strain relief tested in edinburgh compared (see image). MACRO hole size is 2x bigger than the test piece, suggests mix up between radius and diameter for MACOR strain reliefs.
|
Vacuum group confirmed temperature limits for the RGA of 200°C without the electronics removed and 80°C with electronics installed. |
72
|
Mon Sep 20 11:45:45 2021 |
JM RSS ML | CARME installations |
Ion pump, RGA and additional gauge installed on the chamber.
Our gauge is the one mounted above the NEG feedthrough, Michael's gauge is mounted below the feedthrough. Ion pump too large to install on the top of the vessel, sufficient room on flange immediately next to this top flange.
Baking frame assembled around chamber, all required components fit within the baking volume. Insulation on baking tray and heaters set up and connected to pi controller.
Pumpdown begun at 16:30. Turbopump on at 16:40. Unable to reach the required pressure for the hot cathod gauge before leaving the lab ~18:10. Suggests possible leak, pressure and leak check tomorrow morning.
MACOR strain relief and plastic strain relief tested in edinburgh compared (see image). MACRO hole size is 2x bigger than the test piece, suggests mix up between radius and diameter for MACOR strain reliefs. |
Attachment 1: 20210920_115202.jpg
|
|
Attachment 2: 20210920_115208.jpg
|
|
Attachment 3: 20210920_134838.jpg
|
|
Attachment 4: 20210920_134829.jpg
|
|
Attachment 5: 20210920_171609.jpg
|
|
Attachment 6: 20210920_170953.jpg
|
|
71
|
Sun Sep 19 09:11:34 2021 |
CB (remotely) | Baking RasPi status |
Accessed Pi remotely
( 1. Without the Internet, the Pi has no way of knowing what time is it.
In case of power loss make sure to set correct date via
sudo date -s 'YYYY-MM-DD HH:MM:SS'
Or all log files will have wrong timestamp )
EDIT: Pi now connected to GSI time server.
2. Temperature logging OK
3. Commands/events logging enabled. Logging to file Log.dat, automatically moved to Logs/$(timestamp)_log.dat on
gracefuly quit.
Cannot test due to TC08 / RE72 not being connected. Please test when possible.
4. Plot_temps.gp gnuplot script currently optimised for 1080p monitor. Monitor in use is 1024x768.
Please optimise first line of script (size, position) to make it fit while we search for a better monitor.
5. Hostname changed to CARMEbakingpi |
70
|
Fri Sep 17 16:42:25 2021 |
JM RSS ML + OG | CARME Realignment + Thermocouple installation |
Laser surveying team worked till 11. Chamber was too high by 3mm close to the jet target and 6mm by the turbo pump (towards the dipole).
CARME lowered appropriate amount using bolts under CARME feet. Height checked using a laser on the centre point line of the middle flange turbo end and mid point on the front of the chamber (see images). During lowering front foot swapped and valve supports moved slightly to give more clearance for opening. ~13cm clearance for opening the chamber currently.
Pipe and single bellow connecting CARME to dipole replaced by two bellows and new pipe. Rest of open ports on chamber closed.
Thermocouple feedthrough flange installed under the motors flange in the turbo pump section. One internal thermocouple hooked onto kapton cable connected to MACOR and one thermocouple left floating in the chamber. Floating thermocouple corresponds to right output on feedthrough, thermocouple on kapton corresponds to left output on feedthrough. Image taken from flanges at the top of the chamber, quality is poor due to lack of maneouvering space.
Baking frame partially assembled around the chamber. Full assembly requires removing valve airlines to get under the valve pipe, will be done Monday morning. Took measurements for the RGA around the chamber, should be able to fit in multiple positions without conflict with baking jacket. |
Attachment 1: 20210917_135153.jpg
|
|
Attachment 2: 20210917_135354.jpg
|
|
Attachment 3: 20210917_170716.jpg
|
|
Attachment 4: 20210917_170727.jpg
|
|
Attachment 5: 20210918_125516.jpg
|
|
Attachment 6: 20210918_133551.jpg
|
|
Attachment 7: 20210918_134236.jpg
|
|
Attachment 8: 20210918_134226.jpg
|
|
69
|
Thu Sep 16 15:24:29 2021 |
JM RSS | CARME vented + thermocouple feedthrough |
Chamber has been vented back to atmosphere.
Motor was able to be pulled back to insert bloacking pin once at atmosphere.
Thermocouple feedthrough constructed with 4 thermocuples attached. Internal thermocouples each ~200mm in length with external thermocouples each ~1m in length. All were tested by plugging into TC08 and using baking code. Feedthrough will be installed under the motors flange tomorrow.
Survey team come tomorrow morning, afterwhich ion pump and RGA will also be installed. |
Attachment 1: 1.jpg
|
|
Attachment 2: 2.jpg
|
|
Attachment 3: 3.jpg
|
|
68
|
Sat Sep 11 16:01:44 2021 |
CB RSS | Preparations for shutdown |
Found CARME pressure at 2E-7 mbar
Raspberry pi took pictures until 4 am and
then lost contact with camera. Reasons
unclear. Plugging camera in / out solved
the issue. Will have to be investigated
if it happens again.
Left list of things to do with RSS. In
particular:
- Install xhv feedthrough and
thermocouples. Prepared on desk
- Install blocking pin in right hand motor
after pressure returns to atmosphere
- Cover bellows with aluminium to protect
from fibreglass when mounting tent
eventually
- Check all parts available for detector
supports with mounting sketch Peter sent (
RSS to recover sketch)
- Investigate baking program logging.
Unclear if logging is working - did we
disable it for some reason?
Valve on scroll closed. Scroll off. Turbo
off. Pressure sensors off. Raspberry pi
off.
Activities to resume on 16 September.
Attached are the pumping down plots
start point: 10.09.2021 19:00
end point: 11.09.2021 16:00
10.09.2021 19:00 1.09e-6
10.09.2021 20:00 7.57e-7
10.09.2021 21:00 6.17e-7
10.09.2021 22:00 5.36e-7
10.09.2021 23:00 4.82e-7
11.09.2021 00:00 4.42e-7
11.09.2021 01:00 4.10e-7
11.09.2021 02:00 3.84e-7
11.09.2021 03:00 3.62e-7
11.09.2021 04:00 3.43e-7
11.09.2021 15:00 2.29e-7
11.09.2021 16:00 2.31e-7 |
Attachment 1: turbo_pump.png
|
|
Attachment 2: log_scale.png
|
|
67
|
Fri Sep 10 18:09:14 2021 |
CB RSS ML | Leak test + baking system test |
Leak tested CARME via He leak tester + He
bottle. No leaks could be found. Some
indication of potential leak close to
blind flange on bottom valve - disappeared
after closer checks.
Outgassing from cables? If so second turbo
may be required for baking.
Removed leak tester. Scroll on, turbo on.
Pumping down overnight.
Tested baking system. Missing:
- Monitor (not shipped from DL??)
- Monitor power cable
- Power cable for USB hub (not shipped??)
Could find the first two, but monitor is
of poor quality.
USB to RS232 cable damaged in shipping
(poorly secured). Still works, may be
worth repairing but not trivial. Only
works plugged in to top USB 3 port on Pi.
System tested and still working aside from
these issues.
Connected webcam to pi facing IE514
display. Will take pictures every hour on
the hour as in DL.
Will stop pumping tomorrow pm due to
imminent shutdown.
Pressure at 5E-6 mbar decreasing. |
66
|
Fri Sep 10 10:50:55 2021 |
CB RSS ML | Pressure after overnight pump down |
Pressure found in 10-7 mbar range.
Decided to leak test anyway for safety.
Closed top valve. Vented turbo and backing
line. Installed additional valve and T
piece.
Pumping down again. Will begin leak
testing soon. |
Attachment 1: 20210910_101131.jpg
|
|
65
|
Thu Sep 9 13:01:07 2021 |
CB RSS + OG | All feedthrough flanges mounted and motor rotated |
Moved cables that touched neg pumps. See
photos. Please bear in mind perspective.
Note avoiding touching chamber
bottom is not possible.
Re-installed motor to fix alignment
issues. All good. See attached.
Started pumping down. Reached 3E-1 mbar in
20 minutes (ok). Turbo on. After one hour
turbo stable at 0.85 A, pressure on Pirani
at 1.1E-2 (not reliable).
IE514 doesn't report pressure, i.e. > 1E-4
mbar.
Leak likely. Will try to pinpoint
tomorrow. We only changed 10 flangese. |
Attachment 1: 20210909_111933.jpg
|
|
Attachment 2: 20210909_112120.jpg
|
|
Attachment 3: 20210909_112125.jpg
|
|
Attachment 4: 20210909_133027.jpg
|
|
64
|
Wed Sep 8 17:03:07 2021 |
CB RSS + OG NB | Six feedthrough flanges mounted |
Continued to mount flanges as per previous ELOG entry.
Peter also remarked that the wires look a bit loose inside the holes, although this is how they were during
dirty tests in Edinburgh.
Attempted to pack the wires in two holes rather than 4 - too tight. Cannot close.
Packed the wires in 3 holes instead. Better, but still a bit loose. Wire harness feels quite robust and no
obvious strain is placed on the connectors.
#1 flange left with four strands for now.
Connectors were tested in this way in Edinburgh for motion tests. No broken cables after several thousand
repetitions.
Had troubles with second flange being mounted. Glove was caught under MACOR connector. Managed to free it
somehow with a cleaned screwdriver. Other half of the flange refused to go in due to bent pins, until NB was
able to repair it.
Mounted as #5.
Most other connector pins OK. Had to adjust a very small number. Cause of damage unclear - transportation? See
photos.
Took some pictures inside the chamber. Most connectors look OK, but
- One harness is touching the NEG wires (#2). This could be an issue. Will try to move it tomorrow
- Wires hanging from the top may be putting a lot of weight on the connectors. See pictures. Given number of
wires this may be acceptable.
- One harness is touching the NEG cage. Definitely an issue. Will fix tomorrow
Top/bottom flanges mounted with short harnesses. Side flanges mounted with long harnesses.
Two flanges left to mount.
Only #1 has been tightened. Other flanges left finger tight for Grossmontage to tighten properly tomorrow.
Outside motor to be fixed tomorrow. |
Attachment 1: 20210908_132331.jpg
|
|
Attachment 2: 20210908_132410.jpg
|
|
Attachment 3: 20210908_132430.jpg
|
|
Attachment 4: 20210908_133340.jpg
|
|
Attachment 5: 20210908_141933.jpg
|
|
Attachment 6: 20210908_141938.jpg
|
|
Attachment 7: 20210908_144225.jpg
|
|
Attachment 8: 20210908_144229.jpg
|
|
Attachment 9: 20210908_144234.jpg
|
|
Attachment 10: 20210908_144857.jpg
|
|
Attachment 11: 20210908_144916.jpg
|
|
Attachment 12: 20210908_145931.jpg
|
|
Attachment 13: 20210908_151808.jpg
|
|
Attachment 14: 20210908_152422.jpg
|
|
Attachment 15: 20210908_152440.jpg
|
|
Attachment 16: 20210908_152653.jpg
|
|
Attachment 17: 20210908_153333.jpg
|
|
Attachment 18: 20210908_153336.jpg
|
|
Attachment 19: 20210908_155714.jpg
|
|
Attachment 20: 20210908_163205.jpg
|
|
Attachment 21: 20210908_155714.jpg
|
|
Attachment 22: 20210908_164845.jpg
|
|
Attachment 23: 20210908_164853.jpg
|
|
63
|
Wed Sep 8 10:52:54 2021 |
CB RSS + OG | First feedthrough flange mounted |
Assembled feedthrough flange with re-cut
SS support bracket. M3 vented on the
sides, M3x30 partly threaded on top. Top
screws don't touch the
Macor (by design? See first attachment).
Macor affixed but has
some play - good for thermal dilation.
Mounted long wire harness (side flange) on
top. Unable to get one of the two
connectors fully in. Unable to get it out
as well. See last attach, it's a small gap.
Tested few pins with multimeter - all ok.
Decided to mount.
No issues mounting. Slowly and carefully
threaded wires harness in CARME taking
care not to hit negs.
Slightly concerned about weight of Macor
connector on wires, but no obvious
alternative.
Please have a look at attachments and
comment. Will keep mounting in the
afternoon. |
Attachment 1: 20210908_101743.jpg
|
|
Attachment 2: 20210908_111958.jpg
|
|
Attachment 3: 20210908_112002.jpg
|
|
Attachment 4: 20210908_112006.jpg
|
|
Attachment 5: 20210908_112010.jpg
|
|
62
|
Tue Sep 7 14:10:02 2021 |
CB RSS + OG | Strain relief SS support re-drilled |
Re-drilled SS supports in order to fit M3 screws.
Used hand tool cleaned with alchool for 6 of the 8.
Had to use machine for another two with thread damaged.
All 8 will be sent for cleaning. Should be able to start mounting at least the 6 ones tomorrow.
Reason for lack of fit still not clear. They were tested in Edinburgh. Possibly result of high-temperature baking? |
61
|
Tue Sep 7 12:40:43 2021 |
CB ML + RH | CARME survey preparation |
Rudi and survey group representative came
to CARME.
Elephant feet confirmed to be out of
position with respect to the models. Still
unclear if CARME is where it should be
with respect to the jet target and
electron target. I.e. do they have space
to mount? Do we have to move CARME again
(hopefully not!!)
Valve to downstream confirmed out of
alignment. Solution unclear but may be
needed to ensure beam goes through
detectors correctly.
Survey group will come to confirm CARME
position on Thursday 16 September. We
cannot mount baking tent until then, but
no major issue given delays due to strain
relieves and shutdown on 12-15 September. |
60
|
Tue Sep 7 11:37:05 2021 |
CB RSS + OG | Update on strain relief screw issues |
Tested every strain relief. M3 screws get stuck at different points in each hole. Only one good hole out of 16
(2x8).
They were tested in Edinburgh - unclear if this is a result of baking at high temperatures, or bad screws, or
something else.
Easiest solution may be to re-machine holes and clean the connectors here in GSI.
Now investigating options. |
59
|
Mon Sep 6 14:13:17 2021 |
CB ML | Motors mounted - first attempt |
Mounted both motors on CARME. Started
with outside motor.
M6x160 screws proved slightly too long to
fix bellows to rod and seal the vacuum.
Had to be manually shortened by 1 cm
roughly.
Mounted whole assembly but could not fix
actuator rod to moving plate due to holes
mis-alignment.
Mounted motor on CARME but could not align
holes on flange and chamber without
turning motor to the side... see attach 3 and 4
Got in contact with Peter over lunch and
understood issue.
Mounted second motor with experience
acquired. No issues. All screws go in.
Motor box level. See attach 5
Will need to dismount / remount first
motor box before pumping down. Currently
secure on CARME thanks to adjustable
support.
Note we forgot to buy DN 40 CF gaskets. ML
supplied a few. Will buy more. Needed
between bellows and rod.
Strain relief mentioned in previous ELOG
entry was taken to workshop and hole re-
drilled. Workshop reports other hole is OK
for M3 screw. Either screw used or hole
were poorly machined. M3 screws should be
correct. Re-machined part sent for
cleaning.
Will try with clean parts again tomorrow
unless we remount motors.
Activities for the day cut shorter due to
SPARC meeting this week. |
Attachment 1: 20210906_113522.jpg
|
|
Attachment 2: 20210906_113519.jpg
|
|
Attachment 3: 20210906_115601.jpg
|
|
Attachment 4: 20210906_152607.jpg
|
|
Attachment 5: 20210906_143944.jpg
|
|
58
|
Sat Sep 4 15:54:50 2021 |
CB RSS | Motor mounting preparation |
Prepared the motors to be mounted and
found all components required. No issues.
May have to partly dismount again to fix
bellows depending on ease of mounting.
Note extremely long screws mentioned in
previous Elog inventory are to be used for
the motors.
Attempted to swap Pirani gauge with ours
but realised it's CF and needs appropriate
port without risk of baking. That port
would be on the interaction chamber which
is not going to be mounted. Will probably
keep using ABD gauge for now.
Peter spotted mistake in mounting front
foot of CARME which is back to front.
Correct mount will gain us 2-3 cm but will
conflict with current CARME position fixed
by tube on the back. Will discuss options
with ML.
Motor mounting to take place on Mon with
local technical support. No activities
tomorrow unless a solution for the clamps
mentioned in previous entry is found (i.e.
what size bolts go on top). Peter will
check step file and we will consult with
local engineers on Mon pm. |
Attachment 1: 20210904_162517.jpg
|
|
Attachment 2: 20210904_162520.jpg
|
|
57
|
Fri Sep 3 15:55:00 2021 |
CB RSS | Issues with cable clamps |
Attempted to mount cable clamps for strain
relief on inner part of Allectra
feedthroughs.
SS support mounted with M3 vented screws
OK
Cannot figure out which bolt type to use
to fix Macor on SS support.
M2 falls through
M3 screws in partly and gets stuck...
Will need to figure out how to get unstuck
No M2.5 bolts available.
In contact with Peter. Not clear what the
solution was
Will try again tomorrow or move to motors
first. |
Attachment 1: 20210903_154614.jpg
|
|
Attachment 2: 20210903_162519.jpg
|
|
56
|
Fri Sep 3 14:14:40 2021 |
TD | Leybold IE514 manual & calibration data |
|
Attachment 1: 20210903_141201.jpg
|
|
Attachment 2: Ionivac_Sensors_IE414_IE514.pdf
|
|
55
|
Fri Sep 3 08:14:51 2021 |
CB RSS | Issues foreseeable splitting CARME |
In order to install the detector supports
and eventually install the detectors
themselves CARME must be split and
separated via rails.
However it does not look like we have
sufficient clearance on either side. See
pictures attached.
I suggest we mount the strain relievers
wires and motors, not split CARME, and
pump down + bake to give us time to figure
out what is different from the models and
how to fix it. Outgassing from detector
supports should be negligible wrt wires.
One possibility would be to install rail
extension on the back side of the Al frame
where the cross was meant to be supported,
temporarily remove the tube connecting
CARME to the YR09 valve and slide CARME
back. Will need to discuss with Peter. |
Attachment 1: 20210903_085801.jpg
|
|
Attachment 2: 20210903_085805.jpg
|
|
Attachment 3: 20210903_085811.jpg
|
|
Attachment 4: 20210903_085822.jpg
|
|
Attachment 5: 20210903_085958.jpg
|
|
Attachment 6: 20210903_090003.jpg
|
|
Attachment 7: 20210903_090024.jpg
|
|
54
|
Fri Sep 3 08:12:39 2021 |
CB RSS | Pressure after overnight pump down |
03.09.2021 09:00 5.27E-7 mbar
03.09.2021 13:00 4.08E-7 mbar
09;00 Will keep pumping down this morning. |
Attachment 1: 20210903_085646.jpg
|
|
Attachment 2: IMG_4487.JPG
|
|
53
|
Thu Sep 2 21:04:26 2021 |
CB RSS ML + DR OG | Gate valves mounted + pump down |
Mounted both gate valves and support. Gate
valves could not be installed one bolt
rotated from 90 degrees as per drawings
due to mechanical conflict with back foot.
Using rotating flanges some small rotation
from 90 was achieved. This may be
sufficient given the reason for the
rotation was to avoid mechanical conflicts
with ring parts that are no longer there.
Valve struts had to be modified as gate
valve is much lower and close to Al frame
than in Daresbury.
ML suggested that the reason for the
change in height mentioned in previous
entry is that the ring gate valve to YR10
is not centered. Solutions will be
considered when the other two setups in
YR09 are mounted and the alignment
situation is more clear
Noted a few blind flanges are bluish,
which indicates SS 304 instead of 316. Did
we get the wrong ones from ITL? Did we
swap them in Daresbury by mistake? Is it
just an odd colour?
Installed turbo pump on top gate valve,
Pirani gauge provided by ABD (where is
ours?) and pumped down.
Reached 10-1 mbar in 10 minutes. Turbo on.
Reached 10-5 mbar on IE514 in 17 more
minutes (where is its manual?)
Will leave pumping overnight. |
Attachment 1: 20210902_152536.jpg
|
|
Attachment 2: 20210902_153213.jpg
|
|
Attachment 3: 20210902_170002.jpg
|
|
Attachment 4: 20210902_192104.jpg
|
|
Attachment 5: 20210902_192751.jpg
|
|
Attachment 6: 20210902_193944.jpg
|
|
52
|
Thu Sep 2 10:41:16 2021 |
CB RSS + DR OG | CARME connected to the CRYRING |
Connected CARME to the CRYRING via tube
plus bellows. See attach.
Had to lift CARME slightly wrt yesterday
beam alignment to get a straight tube. |
Attachment 1: 20210902_114031.jpg
|
|
51
|
Wed Sep 1 17:14:16 2021 |
CB RSS + DR ML | CARME mounted on the CRYRING |
Lifted CARME with Al support on the
CRYRING. No issues during transportation.
Drilled new holes in the Al feet to fit
elephant feet. Unclear if the issue is
with the model, the frame or the feet
themselves. In any case this issue is
sorted.
CARME was designed to interface with the
CRYRING via the cross section with pumps
and sensors that we decided dismount. To
replace this section a length of xhv
tubing and a bellow will be employed.
Prepared today, to be mounted tomorrow.
Aligned CARME height with beam height.
This posed issues due to difficulty to
adjust bolts under the cross members used
to support the motors. See previous log
entry.
Bolts under those cross members are now
only finger tight. Unclear whether the
CARME section will drop slightly if
disconnected from main body. It's the
section housing the moving Dssds. May be
an issue for tightening it back. Will
investigate.
Gate valves and supports to be installed
tomorrow morning. |
Attachment 1: 20210901_162435.jpg
|
|
Attachment 2: 20210901_173059.jpg
|
|
Attachment 3: 20210901_174157.jpg
|
|
Attachment 4: 20210901_134635.jpg
|
|
Attachment 5: 20210901_173059.jpg
|
|
50
|
Wed Sep 1 12:05:28 2021 |
CB RSS | Preparation for CARME on CRYRING YR09 |
Mounted additional cross member for motor
support. See attach 1
Washer required to make it fit on foot on
one side.
Holes in Al support are not matching elephant feet...! Will have to re-
drill them now.
Yr09 fully dismounted downstream |
Attachment 1: 20210901_125504.jpg
|
|
Attachment 2: 20210901_125517.jpg
|
|
49
|
Tue Aug 31 18:56:35 2021 |
CB | CARME status |
(ELOG does not allow more pictures to be added to previous entry) |
Attachment 1: 20210831_171533.jpg
|
|
Attachment 2: 20210831_171458.jpg
|
|
Attachment 3: 20210831_171501.jpg
|
|
Attachment 4: 20210831_171506.jpg
|
|
Attachment 5: 20210831_171510.jpg
|
|
Attachment 6: 20210831_171514.jpg
|
|
Attachment 7: 20210831_171517.jpg
|
|
Attachment 8: 20210831_171520.jpg
|
|
Attachment 9: 20210831_171524.jpg
|
|
48
|
Tue Aug 31 18:34:41 2021 |
CB RSS + DR OG | CARME on Al stand |
Lifted CARME off the floor and mounted feet on sides. It was found impossible to put four bolts for each foot
due to alignment conflict. Three bolts were mounted per foot instead.
One of the mounting holes in CARME has been damaged and the thread has been ruined. Simple rethreading attempts
failed. Three good holes left - not an issue for now.
One of the shims between the feet and the chamber had to be modified in the workshop. Some feet were rather
difficult to mount, but in the end we succedeed.
CARME was then lifted on the Al frame and gently dropped. The crane remains in place overnight to prevent it
falling over given it is not anchored to the floor.
Front foot and back foot were also mounted.
Elbows at the back were mounted.
Currently considering whether to mount gate valves before or after lifting in place on YR09. Lift experts will
decide tomorrow what is the easiest procedure given constraints on the ring.
See other attachment and next entry for current status.
YR09 downstream will be cleared tomorrow morning. Lift will proceed tomorrow afternoon. |
Attachment 1: 20210831_144545.jpg
|
|
Attachment 2: 20210831_171442.jpg
|
|
Attachment 3: 20210831_171447.jpg
|
|
Attachment 4: 20210831_171449.jpg
|
|
Attachment 5: 20210831_171453.jpg
|
|
47
|
Tue Aug 31 11:55:11 2021 |
CB RSS | Last preparations for CARME lift |
Found strut bolts in the bag with
spherical washers. Mounted the struts and
the washers. See attach 1.
Vented CARME in preparation for lift.
Turbo off
Pressure starts increasing without
introducing leaks. Up to 10-4 mbar. IE 514
off.
Removed plastic cap from leak valve.
Pressure rate increases. Leaky valve?
Turbo motor speed reaches 0 Hz in 1h
Opened leak valve. Scroll off. Pressure to
10 mbar. Not increasing anymore. Turbo
leak aperture likely to small to vent
CARME volume.
Disconnected scroll. Carefully let air in
by tweaking flexible hose connection. Not
recommended again, but should be safe
given turbo fully stop and chamber nearly
vented.
Reached atmosphere in 10 minutes.
Now waiting for lifting support to mount
feet. |
Attachment 1: 16304077162843806956479048661374.jpg
|
|
Attachment 2: 16304077904321905824276870110392.jpg
|
|
46
|
Tue Aug 31 07:09:54 2021 |
CB RSS (rem) JM | Preparation for mounting |
(30 August 2021)
The current state of YR09 is as per attach 1. CCC has been removed, but additional pumps and gauges remain. Some
will have to be mounted on CARME. Dismounting is scheduled for this Wed.
CB and RSS have been preparing for CARME to be mounted. JM supplied pictures of mounting process in DL. Attach 2-5.
2: Studs on carriages
3: CARME feet
4: Back foot
5: Elbows support
All components required have been located in the boxes except
- Cap hex bolts (M4 x 12?) to mount studs on carriages
- Plastic rails to remove cariages (a solution with bubble-wrap was found. Extra carriages are now in a box. Do
not turn it around.)
- Baking tray does not fit with carriages. Unclear how it did before. Will try to file down edges today.
- Bolts for CARME feet are of rather poor quality, and a host of different sizes. We may have to buy some, but
unclear what length.
- Studs are of different heights. That was not clear from previous pictures (attach 6)
- New and improved valve/elbow support (attach 7) is aligned worse than old one. Will keep using old one. Should
still work somehow. |
Attachment 1: 20210830_110920.jpg
|
|
Attachment 2: Al_frame.jpg
|
|
Attachment 3: 20200814_142437.jpg
|
|
Attachment 4: 20200902_153219.jpg
|
|
Attachment 5: 20210830_160613.jpg
|
|
Attachment 6: 20210830_115903.jpg
|
|
45
|
Wed Aug 25 07:02:41 2021 |
RSS TD | 25-31 August |
Pirani IE514
mbar mbar
25/8/21 08:00 0.0030 1.86e-8
----------------------------------------------------
26/8/21 09:00 0.0030 1.77e-8
----------------------------------------------------
27/8/21 08:00 0.0030 1.76e-8
----------------------------------------------------
28/8/21 17:30 0.0029 1.63e-8
----------------------------------------------------
30/8/21 08:00 0.0028 1.43e-8
----------------------------------------------------
31/8/21 08:30 0.0027 1.40e-8 |
Attachment 1: IMG_4349.JPG
|
|
Attachment 2: IMG_4360.JPG
|
|
Attachment 3: log_scale_26.08.png
|
|
Attachment 4: turbo_pump_26.08.png
|
|
Attachment 5: scroll_pump_26.08.png
|
|
Attachment 6: IMG_4367.JPG
|
|
Attachment 7: log_scale_27.08.png
|
|
Attachment 8: IMG_4372.JPG
|
|
Attachment 9: log_scale_28.08.png
|
|
Attachment 10: IMG_4426.JPG
|
|
Attachment 11: IMG_4427.JPG
|
|
Attachment 12: log_scale_30.08.png
|
|
Attachment 13: turbo_pump_30.08.png
|
|
Attachment 14: scroll_pump_30.08.png
|
|
Attachment 15: log_scale_31.08.png
|
|
Attachment 16: turbo_pump_31.08.png
|
|
Attachment 17: scroll_pump_31.08.png
|
|
Attachment 18: IMG_4445.JPG
|
|
Attachment 19: IMG_4446.JPG
|
|
44
|
Wed Aug 18 11:58:49 2021 |
NTD RSS | Misc fittings and fasteners plus gasket inventory |
Attachments 1-3 fasteners, fittings found amongst earlier shipments. I assume that the STFC DL cleaned items are for the internal detector mount assembly.
Inventory of silver-plated copper gaskets
ID (cm)
7x DN250CF 25.4
5x DN200CF 20.0
5x DN160CF 15.3
100x DN100CF 10.0
4x DN63CF 6.3
16x DN35CF 3.5
23x DN16CF 1.6
N.B. ID is measured +/- 0.1cm and DNxxCF designation is what the Lesker catalogue says it means.
Attachments 4 & 9 2x motor rods and MACOR heat shields for cleaning
Attachment 5 - cable assemblies
Attachment 7 - what are these screws for?
|
Attachment 1: 20210818_113056.jpg
|
|
Attachment 2: 20210818_111549.jpg
|
|
Attachment 3: 20210818_111543.jpg
|
|
Attachment 4: 20210819_162634.jpg
|
|
Attachment 5: 20210819_163357.jpg
|
|
Attachment 6: 20210819_163402.jpg
|
|
Attachment 7: 20210819_163646.jpg
|
|
Attachment 8: 20210819_163651.jpg
|
|
Attachment 9: 20210819_164007.jpg
|
|
Attachment 10: 20210819_164018.jpg
|
|
Attachment 11: 20210819_164028.jpg
|
|
Attachment 12: 20210819_164043.jpg
|
|
Attachment 13: 20210819_164043.jpg
|
|
Attachment 14: 20210819_164054.jpg
|
|
Attachment 15: 20210819_164111.jpg
|
|
Attachment 16: 20210819_164234.jpg
|
|
Attachment 17: 20210819_164238.jpg
|
|
Attachment 18: 20210819_164242.jpg
|
|
Attachment 19: 20210819_164417.jpg
|
|
Attachment 20: 20210819_164421.jpg
|
|
Attachment 21: 20210819_164527.jpg
|
|
Attachment 22: 20210819_164530.jpg
|
|
43
|
Wed Aug 18 07:07:26 2021 |
RSS TD | 18-24 August |
Pirani IE514
mbar mbar
18/8/21 08:00 0.0034 3.90e-8
18/8/21 17:00 0.0032 3.72e-8
-------------------------------------------------------------
19/8/21 08:00 0.0032 3.38e-8
19/8/21 17:00 0.0032 3.31e-8
-------------------------------------------------------------
20/8/21 08:00 0.0032 3.02e-8
-------------------------------------------------------------
21/8/21 16:00 0.0032 2.59e-8
-------------------------------------------------------------
22/8/21 12:00 0.0034 2.44e-8
-------------------------------------------------------------
23/8/21 08:00 0.0030 2.23e-8
-------------------------------------------------------------
24/8/21 08:00 0.0030 2.15e-8
|
Attachment 1: IMG_4273.JPG
|
|
Attachment 2: IMG_4274.JPG
|
|
Attachment 3: log_scale_18.08.png
|
|
Attachment 4: turbo_pump_18.08.png
|
|
Attachment 5: scroll_pump_18.08.png
|
|
Attachment 6: IMG_4281.JPG
|
|
Attachment 7: log_scale_19.08.png
|
|
Attachment 8: turbo_pump_19.08.png
|
|
Attachment 9: scroll_pump_19.08.png
|
|
Attachment 10: IMG_4290.JPG
|
|
Attachment 11: IMG_4301.JPG
|
|
Attachment 12: log_scale_21.08.png
|
|
Attachment 13: turbo_pump_21.08.png
|
|
Attachment 14: scroll_pump_21.08.png
|
|
Attachment 15: IMG_4323.JPG
|
|
Attachment 16: IMG_4324.JPG
|
|
Attachment 17: log_scale_22.08.png
|
|
Attachment 18: turbo_pump_22.08.png
|
|
Attachment 19: scroll_pump_22.08.png
|
|
Attachment 20: IMG_4339.JPG
|
|
Attachment 21: IMG_4340.JPG
|
|
Attachment 22: IMG_4345.JPG
|
|
Attachment 23: log_scale_24.08.png
|
|
Attachment 24: turbo_pump_24.08.png
|
|
Attachment 25: scroll_pump_24.08.png
|
|
42
|
Fri Aug 13 07:28:37 2021 |
RSS TD | Friday 13-17 August |
Pirani IE514
mbar mbar
13/8/21 08:00 0.0075 3.53e-7
13/8/21 12:00 0.0065 2.80e-7
13/8/21 15:20 0.0065 2.40e-7
13/8/21 16:30 0.0060 2.29e-7
---------------------------------
14/8/21 12:00 0.0046 1.25e-7
14/8/21 12:00 0.0044 1.14e-7
---------------------------------
15/8/21 09:00 0.0040 8.47e-8
15/8/21 12:30 0.0040 8.00e-8
15/8/21 15:30 0.0040 7.69e-8
---------------------------------
16/8/21 08:00 0.0038 6.36e-8
16/8/21 11:30 0.0036 6.14e-8
16/8/21 17:00 0.0036 5.82e-8
---------------------------------
17/8/21 08:00 0.0034 4.82e-8
17/8/21 17:00 0.0034 4.47e-8 |
Attachment 1: IMG_4196.JPG
|
|
Attachment 2: IMG_4197.JPG
|
|
Attachment 3: IMG_4198.JPG
|
|
Attachment 4: scroll_pump_13.08.png
|
|
Attachment 5: turbo_pump_13.08.png
|
|
Attachment 6: IMG_4237.JPG
|
|
Attachment 7: IMG_4238.JPG
|
|
Attachment 8: turbo_pump_14.08.png
|
|
Attachment 9: scroll_pump_14.08.png
|
|
Attachment 10: IMG_4244.JPG
|
|
Attachment 11: IMG_4245.JPG
|
|
Attachment 12: turbo_pump_15.08.png
|
|
Attachment 13: scroll_pump_15.08.png
|
|
Attachment 14: IMG_4257.JPG
|
|
Attachment 15: IMG_4258.JPG
|
|
Attachment 16: turbo_pump_16.08.png
|
|
Attachment 17: scroll_pump_16.08.png
|
|
Attachment 18: IMG_4265.JPG
|
|
Attachment 19: IMG_4266.JPG
|
|
Attachment 20: turbo_pump_log_scale.png
|
|
Attachment 21: scroll_pump_17.08.png
|
|
Attachment 22: turbo_pump_17.08.png
|
|
Attachment 23: log_scale_17.08.png
|
|
41
|
Thu Aug 12 09:16:42 2021 |
TD RSS | Thursday 12 August |
Attachment 1 - IE414/514 feedthrough wiring diagram
Attachments 2 & 3 - IE514 feedthrough connected (cf https://elog.ph.ed.ac.uk/CARME/19 )
14:00 scroll pump start
14:30 turbo pump start
15:16 1.00e-5mbar
16:01 - attachments 4-8 basic vacuum test setup
turbo @ 0.74A
Pirani IE514
mbar mbar
12/8/21 14:02 85
12/8/21 14:04 4.8
12/8/21 14:06 0.95
12/8/21 14:08 0.46
12/8/21 14:10 0.30
12/8/21 14:12 0.22
12/8/21 14:16 0.18
12/8/21 14:18 0.15
12/8/21 14:20 0.12
12/8/21 14:22 0.11
12/8/21 14:24 0.10
12/8/21 14:26 0.090
12/8/21 14:28 0.080
12/8/21 14:30 0.075
12/8/21 14:32 0.27
12/8/21 14:34 0.14
12/8/21 14:36 0.10
12/8/21 14:37:20 7.00e-5
12/8/21 14:38 0.085 5.80e-5
12/8/21 14:40 0.080 4.61e-5
12/8/21 14:42 0.075 3.73e-5
12/8/21 14:44 0.075 3.14e-5
12/8/21 14:46 0.070 2.70e-5
12/8/21 14:48 0.065 2.42e-5
12/8/21 14:50 0.065 2.16e-5
12/8/21 14:52 0.065 1.97e-5
12/8/21 14:54 0.060 1.81e-5
12/8/21 14:56 0.060 1.70e-5
12/8/21 14:58 0.060 1.57e-5
12/8/21 15:00 0.060 1.47e-5
12/8/21 15:02 0.055 1.39e-5
12/8/21 15:04 0.055 1.31e-5
12/8/21 15:06 0.050 1.26e-5
12/8/21 15:08 0.050 1.20e-5
12/8/21 15:10 0.050 1.14e-5
12/8/21 15:12 0.048 1.09e-5
12/8/21 15:14 0.046 1.04e-5
12/8/21 15:16 0.046 1.00e-5
12/8/21 15:18 0.044 9.65e-6
12/8/21 15:20 0.044 9.31e-6
12/8/21 16:00 0.032 5.28e-6
--------------------------------
13/8/21 08:00 0.0075 3.53e-7 |
Attachment 1: 20210812_101505.jpg
|
|
Attachment 2: 20210812_101537.jpg
|
|
Attachment 3: 20210812_101545.jpg
|
|
Attachment 4: 20210812_160134.jpg
|
|
Attachment 5: 20210812_160158.jpg
|
|
Attachment 6: 20210812_160210.jpg
|
|
Attachment 7: 20210812_160215.jpg
|
|
Attachment 8: 20210812_160222.jpg
|
|
Attachment 9: IMG_4196.JPG
|
|
Attachment 10: IMG_4197.JPG
|
|
Attachment 11: IMG_4198.JPG
|
|
Attachment 12: scroll_pump.png
|
|
Attachment 13: turbo_pump.png
|
|
40
|
Wed Aug 11 12:30:40 2021 |
TD RSS | Wednesday 11 August |
Attachments 1 & 2 - 2x SAES D-2000 re-fitted to side ports
all 4x SAES D-2000 now re-fitted to chamber
Will now fit 1x turbo and blank (currently) unused turbo port.
Attachments 3 & 4 - centre 2x78 pin micro-D feedthrough has some pins slightly bent - can probably be safely bent back into position
ALWAYS check 78-pin feedthroughs for bent/broken pins before fitting connectors/cabling
Attachment 5 - currently unused turbo port
Attachments 6 & 7 - turbo port from above and side
Attachment 8 - turbo + NW16/NW25 adaptor + NW25 s/s hose + scroll pump + turbo controller + CMS52 gauge controller
Note we currently do not have a vent valve or backing line vacuum gauge (both functions provided by leak detector at STFC DL). |
Attachment 1: 20210811_132906.jpg
|
|
Attachment 2: 20210811_132918.jpg
|
|
Attachment 3: 20210811_132932.jpg
|
|
Attachment 4: 20210811_132943.jpg
|
|
Attachment 5: 20210811_142615.jpg
|
|
Attachment 6: 20210811_142629.jpg
|
|
Attachment 7: 20210811_142638.jpg
|
|
Attachment 8: 20210811_153236.jpg
|
|
39
|
Tue Aug 10 12:22:56 2021 |
TD RSS | Tuesday 10 August |
Attachments 1-3 - 2 of 4 SAES D2000 installed at same ports used for STFC DL XHV tests |
Attachment 1: 20210810_115555.jpg
|
|
Attachment 2: 20210810_115607.jpg
|
|
Attachment 3: 20210810_115617.jpg
|
|
38
|
Mon Aug 9 12:36:00 2021 |
TD, RSS, ABD | Monday 9 August |
Attachments 1-5 - CARME shipment in CRYRING hall
XHV chambers nearest to YR09
Will remove top and sides of XHV chamber crate and install 4x SAES D-2000, 1x Leybold Mag Lev 400 turbo and 1x Leybold Ionvac IE514 gauge for basic vacuum test.
Attachments 6-7 - spare wire seals shipped from Edinburgh to GSI
poor packing and/or re-packing has resulted in bending of wire seals
wire seals shipped from STFC DL to GSI appear to be OK - see attachment 1
|
Attachment 1: 20210809_132802.jpg
|
|
Attachment 2: 20210809_132813.jpg
|
|
Attachment 3: 20210809_132903.jpg
|
|
Attachment 4: 20210809_132913.jpg
|
|
Attachment 5: 20210809_133438.jpg
|
|
Attachment 6: 20210809_145826.jpg
|
|
Attachment 7: 20210809_145831.jpg
|
|
37
|
Mon Dec 7 14:29:12 2020 |
JM | CARME packing up |
|
Attachment 1: 20201207_122353.jpg
|
|
Attachment 2: 20201207_113905.jpg
|
|
Attachment 3: 20201207_110714.jpg
|
|
36
|
Fri Dec 4 16:49:36 2020 |
TD | Friday 4 December |
|
Attachment 1: 20201204_161412.jpg
|
|
Attachment 2: 20201204_161400.jpg
|
|
Attachment 3: 20201204_161354.jpg
|
|
Attachment 4: 20201204_161348.jpg
|
|
Attachment 5: 20201204_161340.jpg
|
|
Attachment 6: 20201204_161313.jpg
|
|
Attachment 7: 20201204_161259.jpg
|
|
35
|
Wed Nov 25 10:39:37 2020 |
TD | Wednesday 25 November |
10.39
CARME XHV chamber pressure 6.0e-12mbar
Backing 2.1e-08mbar (Edwards vacuum cart w/RGA)
CARME temps - heaters OFF - chamber at ambient
temperature
All SAES D2000-10 & UHV1400 NEG power OFF
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
Gate valve open
attachment 1 - analog RGA scan (as found this am)
attachment 2 - scan mode
c. 11.15
AH partially closes gate valve using c. 2 bar air pressure
VCARME XHV chamber pressure increases c. 7e-9mbar immediately
attachment 3 - RGA scan - no obvious change in RGA trends!
attachments 4 & 5 - VAT valve indicators - upper (partially open/closed) and lower (closed)
11.33 CARME XHV chamber pressure 6.6e-9mbar
Backing 2.2e-08mbar (Edwards vacuum cart w/RGA)
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
All SAES D2000-10 ion pump OFF
11.40 CARME XHV chamber pressure 5.64e-9mbar
11.46 CARME XHV chamber pressure 5.01e-9mbar
No change in RGA trends!
12.01 CARME XHV chamber pressure 3.78e-9mbar
No change in RGA trends!
12.11 CARME XHV chamber pressure 3.10e-9mbar
12.42 CARME XHV chamber pressure 1.81e-9mbar
Backing 2.4e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
13.20 CARME XHV chamber pressure 1.06e-9mbar
Backing 2.4e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
13.36 CARME XHV chamber pressure 8.93e-10mbar
Backing 2.4e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
13.59 CARME XHV chamber pressure 7.07e-10mbar
Backing 2.5e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
14.00 Leybold turbo OFF - decelerating
14.30 Leybold turbo OFF - decelerating - 466Hz
CARME XHV chamber pressure 5.62e-10mbar
Backing 2.1e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
14.40 1x SAES D2000-10 ion pump switched on briefly - settles in c. 10s - pressure < 8.9e-
12mbar
All 4x D2000-10 ion pumps OFF
15.01 Leybold turbo OFF - decelerating - 125Hz
CARME XHV chamber pressure 4.78e-10mbar
Backing 1.4e-08mbar (Edwards vacuum cart w/RGA)
No change in RGA trends!
15.10 Abrupt increase in RGA trends - see attachment 6
Leybold turbo OFF - decelerating - 60Hz
CARME XHV chamber pressure 4.53e-10mbar
Backing 2.6e-08mbar (Edwards vacuum cart w/RGA)
15.19 4x SAES D2000-10 ion pumps ON
RGA record 04.24.00
15.24 see attachment 7 - RGA transient recovering - turbo stopped?
15.10 Leybold turbo OFF - stopped - 0Hz
CARME XHV chamber pressure 4.40e-10mbar
Backing 1.1e-08mbar (Edwards vacuum cart w/RGA)
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
16.10 VAT gate valve partially closed
Leybold turbo OFF - stopped - 0Hz
CARME XHV chamber pressure 3.88e-10mbar
Backing 9.8e-09mbar (Edwards vacuum cart w/RGA)
RGA traces recovered to pre-transient levels and stable
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12 |
Attachment 1: 20201125_104631.jpg
|
|
Attachment 2: 20201125_110500.jpg
|
|
Attachment 3: 20201125_111937.jpg
|
|
Attachment 4: 20201125_111954.jpg
|
|
Attachment 5: 20201125_112003.jpg
|
|
Attachment 6: 20201125_151232.jpg
|
|
Attachment 7: 20201125_152354.jpg
|
|
34
|
Tue Nov 24 12:24:01 2020 |
JM | RGA scans |
RGA laptop passcode: tw%11&STA-
RGA scans set up and plotting data as of 11:44
RGA is set to the multiplier mode (4.44e-8mbar) with an accuracy setting of 8, these are for the most sensitive scans. RGA is set to scan masses 2, 12, 16, 18, 28, 32, 40 and 44 in the range 1e-9 to 1e-14mbar. Mass2=7.85e-11mbar, Mass12=1.45e-12mbar, Mass16=1.41e-11mbar, Mass18=4.78e-10mbar, Mass28=1.53e-11mbar, Mass32=3.46e-12mbar, Mass40 = 1e-14mbar, Mass44= 3e-13mbar. Slight variability in each measurement is ver small for higher pressures and larger for lower pressures (see image attached).
Plan is to switch each ion pump off individually and observe the effect on the RGA scans. Once off I will leave for 30 mins to see if any difference can be seen. Afterwards I will switch of 2 pumps then 4 pumps at a time.
12:06 Ion pump 1 (furthest left pump=1, furthest right=4) turned off, no immediate change in RGA.
12:38 No change in RGA, turning pump 1 on again and switching off pump 2. Pump 1 took ~15s to stabilise back to a pressure of <8.9e-12mbar once turned on again.
13:08 No change in RGA, turning pump 2 on again and switching off pump 3. Pump 2 took ~15s to stabilise back to a pressure of <8.9e-12mbar.
13:38 No change in RGA, turning pump 3 on again and switching off pump 4. Pump 2 took ~15s to stabilise back to a pressure of <8.9e-12mbar.
14:08 No change in RGA, all pumps back ON. RGA has not changed since pumps wer switched off and on, pressure on the hot cathode gauge has risen slightly however I think this is due to the day/night cycle of the pressure.
14:12 All 4 ion pumps turned off
15:12 No observable change in the RGA
15:33 Ion pumps all back ON. No change in RGA |
Attachment 1: 20201124_124107.jpg
|
|
33
|
Tue Nov 10 12:25:29 2020 |
JM | Cable harnesses |
The length of the wire needed was estimated with the aid of a scale image of the detectors in the CARME chamber and foam model pieces. Wire length estimated by simply cutting wire so that it 'fits' the scale model and by taking coordinates of the end points of the longest sections of wire needed on the model and calculating a bezier curve to estimate wire length. Bezier curve calculated by inputting the endpoints of the wire and control points such that the wire comes out of the connector at the specified angle in the scale diagram. Figure 1 shows the bezier curve (orange), distance between the endpoints (green) and the control point plot. The length of wire required by the bezier curve is approximately that of cutting wire to fit the scale model.
Two groups of harnesses are needed to be built, a short section which correspond to the top and bottom of the detectors, and longer sections needed for the sides of the detectors. Lengths of these wires is;
Short: 225 mm
Long: 285 mm
Wire is cut to length using a circular object with the required circumference. Wire is wrapped round the object and cut to give required length. Wire is then stripped, crimped and inserted into the connectors with the correct pin assignment. Inserting wire into connectors acts as a good quality check, as incorrectly crimped wires will not fit into connectors. Crimp is set to setting 12 for the male crimps and 10 for the female crimps. Wire stripper has to be cleaned of stripped ends often as it is liable to jam and wire must be inserted into stripper straight, else strip will clip some of the conductors in the wire.
As of 9/11/20 two harnesses (1 long, 1 short) have been constructed.
8 harnesses constructed and sent to DAresbury for cleaning 20/11/20 |
Attachment 1: 20201102_155336.jpg
|
|
Attachment 2: Figure_1.png
|
|
Attachment 3: 20201102_154648.jpg
|
|
Attachment 4: Photos.zip
|
32
|
Thu Oct 29 08:02:29 2020 |
CB, JM (remotely) | CARME pressure |
Attached is the temperature plot for bakeout period including tags for NEG activation etc.
28 October -> 27 November
--->See shared Excel document for CARME pressure values<---
https://uoe-my.sharepoint.com/:x:/g/personal/cbruno2_ed_ac_uk/EbaoBvhq8zFFtmuakXKjQWQBTqjjzilT6lk9BmchoxlMNQ?e=XU8DzE
30 October
07:30 Baking code has been shut down, we have no log of the temperatures. Restarted (T=0)
19:40 Pressure back in the E-12 mbar range.
31 October
08:47 Back in the low E-11 mbar range. See temperature plot attached.
15:57 Back in the E-12 mbar range.
1 November
12:30 Pressures updated. Temperature plot updated.
2 November
08:52 Pressure reached E-10 mbar overnight, now back in the E-12 range.
Temperature increasing. See attached.
3 November
08:37 Pressure stable in the E-12 mbar range.
15:36 Pressure mostly stable in the E-12 mbar range
17:30 KM reports re-arranging the mains power connection to borrow an extension reel. No obvious effects on pressure.
18:24 Pressure stable in the E-12 mbar range. Updated temperature plot.
4 November
08:39 Pressure stable in the E-12 mbar range.
Temperature plot updated.
19:31 Pressure mostly stable around 5E-12 mbar
5 November
10:26 Pressure stable in the E-12 mbar range.
6 November
12:26 Pressure stable in the E-12 mbar range.
7 November
11:50 Pressure stable in the E-12 mbar range.
Temperature plot updated.
8 November
20:39 Pressure increasing again. Now in 1E-11 mbar range
9 November
08:50 Pressure returned to E-12 mbar range.
Temperature plot updated
16:51 Pressure fluctuating around 7E-12 mbar range.
10 November
09:04 Pressure oscillating in the 7E-12 mbar range
11 November
08:27 Pressure mostly stable in the 5E-12 mbar range
12 November
08:21 Pressure mostly stable in the 5E-12 mbar range
13 November
08:29 Pressure increased suddenly to 7E-9 mbar yesterday at 14:00, from 6.5E-12 mbar. Was the valve closed? (Confirmed
by KM)
Pressure appears to be slowly recovering. Currently in the low E-10 mbar range.
Temperature plot updated.
15:40 Pressure does not appear to drop. Currently stable around 2E-10 mbar
18:10 KM reports Ion pumps have gone off (tripped by high pressure?)
IE514 gauge still reading 1E-10, but SAES D2000-10 ion pressure gauge reading 1E-12.
Someone will check tomorrow in person.
14 November
08:13 Pressure stable in the 2E-10 mbar range. Does not appear to decrease.
15 November
08:24 Pressure stable in the 2E-10 mbar range
16 November
08:23 Pressure increased to 1E-9 mbar (potentially due to heating being turned on at week start).
17 November
08:18 Pressure back in the E-12 mbar range since yesterday afternoon.
Change was sudden and CM52 control module appears to have been moved in-between.
Unclear what was done locally.
18 November
08:40 Pressure mostly stable in the 5E-12 mbar range.
19 November
07:55 Pressure remains stable in the E-12 mbar range.
Temperature plot updated
20 November
08:00 Pressure remains stable in the E-12 mbar range.
21 November
14:16 Pressure remains stable in the 5E-12 mbar range.
22 November
08:08 Pressure remains stable
23 November
08:24 Pressure remains stable
24 November
08:05 Pressure remains stable
See ELOG entry by JM
25 November
See ELOG entry by TD.
Valve partly closed.
26 November
08:58 Pressure in the E-10 mbar range, very slowly decreasing
27 November
21:07 Pressure stable in the 2E-10 mbar range. Does not appear to be decreasing
28 November
17:49 Pressure stable in the 2E-10 mbar range. |
Attachment 1: 20201029_080001.jpg
|
|
Attachment 2: Bakeout_pump_down.png
|
|
Attachment 3: 20201119_temps.png
|
|
31
|
Wed Oct 28 10:41:57 2020 |
TD | Wednesday 28 October |
10.32
CARME chamber 5.8e-12mbar (see attachment 1)
Backing 1.6e-08mbar (Edwards vacuum cart w/RGA)
CARME temps - see attachment 2
All SAES D2000-10 & UHV1400 NEG power OFF
All SAES D2000-10 ion pump OFF
Gate valve open
11.01
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012/5019 4-8e-11
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
11.04
CARME chamber 5.7e-12mbar
Backing 1.7e-08mbar (Edwards vacuum cart w/RGA)
12.12
CARME chamber 5.3e-12mbar
Backing 1.7e-08mbar (Edwards vacuum cart w/RGA)
All SAES D2000-10 & UHV1400 NEG power OFF
Gate valve open
All SAES D2000-10 ion pump ON
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
All ion pumps now stabilising wrt V/I/pressure
log (pressure) versus time - see attachment 3
16.00
CARME chamber 4.9e-12mbar - see attachment 4
Backing 1.7e-08mbar (Edwards vacuum cart w/RGA)
All SAES D2000-10 & UHV1400 NEG power OFF
Gate valve open
All SAES D2000-10 ion pump ON - see attachment 5
# V/V presssure/mbar
1 5012 < 8.9e-12
2 5012 < 8.9e-12
3 5012 < 8.9e-12
4 5012 < 8.9e-12
All ion pumps now stabilising wrt V/I/pressure
CARME temps - see attachment 6
Observing pressure transients from 5e-12mbar to c. 6-7e-12mbar which recover in c. 5-10 minutes
21.00 CARME chamber 4.7e-12mbar |
Attachment 1: 20201028_103204.jpg
|
|
Attachment 2: 20201028_103638.jpg
|
|
Attachment 3: 28102020_pump_down.png
|
|
Attachment 4: 20201028_161049.jpg
|
|
Attachment 5: 20201028_155823.jpg
|
|
Attachment 6: 20201028_155940.jpg
|
|
30
|
Mon Oct 26 10:35:05 2020 |
JM, CB(remotely) | Cooling |
10:10 Pressure on the chamber = 3.1e-8mbar. RE-72 temperatures are ~120-125C with set limits at 80C. D2000 temperatures are ~370C. D2000's reduced from 60W to 30W and all UHV 1400s reduced to 50W each to cool both the chamber and the D2000s so that ion pumps can be activated.
10:38 Pressure on the chamber = 1.7e-9mbar. Re-72 temperatures begining to fall and are currently ~117-122C. D2000 temperatures are ~290C.
11:05 Pressure on chamber = 1.1e-9mbar. RE-72 temperatures are ~114-118C. D2000 temperatures ~275C.
11:42 Pressure on chamber = 9e-10mbar. RE-72 temperatures are ~109-113C. D2000 temperatures ~230C. Power in the D2000s reduced to 10W each to speed up cooling.
13:02 Pressure on chamber = 3e-10mbar. RE-72 temperatures are 100-103C. D2000 temperatures ~200C. Power in the UHV 1400s reduced to 25W to speed up cooling. Total heating power is now 325W from the UHV1400s and 40W from the D2000s.
14:11 Temperature plot attached.
15:40 Pressure on chamber = 1.2e-10mbar. RE-72 temperatures are ~80-84C with the heaters starting to control temperature of the bakeout. D2000s temperatures are ~150C. I will leave things as they are to let temperatures reach equillibrium overnight.
27 October
08:00 Temperature stable. See attached.
08:30 Pressure on chamber = 1.2e-10mbar. D2000s temperatures are 150C, have turned them off to cool to below 80C
09:35 Pressure on chamber 1.18e-10mbar. D2000s temperatures are 110C. Bakeout set point reduced to 0C and neg elements switched off. Ion pumps set up and will be activated when D2000 temperatures are below 80C.
Settings on the ion pump have been left to default, except for the ramp rate which has been reduced to 1s from 10s as we are only flashing pumps for a short period of time, and the conversion factor which is set to 150A/torr for D2000 pumps.
10:50 Pressure on the chamber = 7.53e-11mbar. D2000 temperatures at 80C. Gate valve was closed leading to an increase in pressure up to the high -9 / low -8 mbar range. Conclusion at the time was that the UHV1400s were still too hot and thus outgassing at such a rate that caused the large pressure increase. Once the valve was opened again, the pressure dropped to the mid -11 range again. Gate valve has been found to have been installed upside down, this may also contribute to the pressure increase. Due to low pressure on the backing line and neg pumps cooling which will increase pumping power we may not have to close the valve to reach the -12 mbar range however.
After speaking with Keith, valve being installed upside down shouldn't pose any significant difference to obtainable pressure, however would be good to install properly at next chance.
11:45 Pressure on the chamber = 5.55e-11mbar. D2000s temparatures are 75C. Ion pumps activated for first flash of 30s pumping.
11:55 Pressure on the chamber =5.44e-11mbar. Ion pumps flashed for the second time. Pressure given inside 3 ion pumps reached the minimum of 8.9e-12mbar, 4th pump registered 1.3e-10mbar.
13:24 Pressure on the chamber = 4.02e-11mbar. Ion pumps flashed for the third time. Pressure inside ion pumps was the same as last flash.
15:40 Pressure on chamber = 2.88e-11mbar
Find attached plot of pressure (mbar) against time for the pump down from 10:11 on 27/10/20. It looks like negs may have cooled enough to begin pumping nearer the end of the day where the rate of pumping speed appears to increase.
|
Attachment 1: Screenshot_from_2020-10-26_14-10-08.png
|
|
Attachment 2: 20201027_0803_temps.png
|
|
Attachment 3: 27102020_pump_down.png
|
|
Attachment 4: 27102020_pump_down.png
|
|
29
|
Thu Oct 22 22:15:00 2020 |
CB (remotely) | Cooling down after first NEG activation |
Thu 22 October
22:15 Temperatures stables, slowly decreasing.
Bump - rising front corresponds to heaters turned on. Falling front to D2000 turned off?
See attached.
Fri 23 October
09:57 Temperatures stable. See attached.
13:09 Temperatures remain stable. No significant changes.
Sat 24 October
21:27 Temperatures stable. See attached.
Sun 25 October
*Daylight saving is in effect. Time on the Raspberry Pi is no longer incorrect*
07:57 Temperatures stable. See attached.
19:05 Temperatues mostly stable. See attached. Will leave overnight and move setpoint to 80 C early tomorrow morning
Mon 26 October
07:37 Temperatures mostly stable. See attached. Setpoint to 80 C. |
Attachment 1: 20201022_2214.png
|
|
Attachment 2: 20201023_0957.png
|
|
Attachment 3: 20201024_2117.png
|
|
Attachment 4: 20201025_0757.png
|
|
Attachment 5: 20201025_1905.png
|
|
Attachment 6: 20201026_70736_temps.png
|
|
Attachment 7: 20201026_0736_ctrl.png
|
|
28
|
Thu Oct 22 10:39:40 2020 |
TD | Thursday 22 October |
10.40
CARME chamber 2.84e-08mbar
Backing 1.2e-7mbar (Edwards vacuum cart w/RGA)
CARME temps - see attachment 1
vessel 146.62 deg C max diff 21.42 deg C
octagon 27.85 deg C max diff 6.35
SAES HV power modules - see attachments 2-12
14.00
CARME chamber 2.78e-08mbar
Start 4x SAES D2000-10 *NEG* pumps (ion pump OFF) - see attachments 13-18
Ramp 45 mins
Hold 60 mins
225W/550deg C
NEG pumps report temps c. 130 deg C
14.15 ramp
CARME chamber 2.0e-07mbar
Backing 3.3e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 230-250 deg C
14.30 ramp
CARME chamber 2.34e-07mbar
Backing 4.1e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 330-350 deg C
14.45 ramp
CARME chamber 4.02e-07mbar
Backing 4.1e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 450-455 deg C
15.00 hold
CARME chamber 4.56e-07mbar
Backing 5.7e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 500-505 deg C
output c. 50V, c. 3A, c. 150W
15.20 hold
CARME chamber 4.40e-07mbar
Backing 5.1e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 530-540 deg C
output c. 160-170W
15.40 hold
CARME chamber 3.46e-07mbar
Backing 4.3e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 540-545 deg C
output c. 160-170W
15.50 cycle complete
Ramp 45 mins
Hold inf mins
set output 60W
re-start CARME bakeout
set point +120 deg C
15.55 ramp
CARME chamber 5.15e-08mbar
Backing 1.4e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 350-360 deg C
16.15 ramp
CARME chamber 4.53e-08mbar
Backing 1.5e-07mbar (Edwards vacuum cart w/RGA)
NEG pump temps c. 260-270 deg C
16.17 CARME chamber temperatures - attachment 20 |
Attachment 1: 20201022_104309.jpg
|
|
Attachment 2: 20201022_104331.jpg
|
|
Attachment 3: 20201022_104339.jpg
|
|
Attachment 4: 20201022_104345.jpg
|
|
Attachment 5: 20201022_104354.jpg
|
|
Attachment 6: 20201022_104354.jpg
|
|
Attachment 7: 20201022_104412.jpg
|
|
Attachment 8: 20201022_104415.jpg
|
|
Attachment 9: 20201022_104419.jpg
|
|
Attachment 10: 20201022_104427.jpg
|
|
Attachment 11: 20201022_104431.jpg
|
|
Attachment 12: 20201022_104434.jpg
|
|
Attachment 13: 20201022_135913.jpg
|
|
Attachment 14: 20201022_135921.jpg
|
|
Attachment 15: 20201022_135926.jpg
|
|
Attachment 16: 20201022_135930.jpg
|
|
Attachment 17: 20201022_135935.jpg
|
|
Attachment 18: 20201022_135942.jpg
|
|
Attachment 19: 20201022_161737.jpg
|
|
27
|
Mon Oct 19 13:57:52 2020 |
JM | Neg Activation |
Neg and Neg-Ion pumps have been connected up to the control modules. Controller on the right is connected to the D-2000s. Middle controller is connected to the wall section negs (1x2negs in series and 1x3negs in series) and the moving detector negs (2x2 negs in series). Left controller is connected to the intermediate section negs (2x2 negs in series). Must connect IN/OUT interface on the back of the controller or the alarm for an open circuit is triggered. When no thermocouple is connected Tmax must be set to zero otherwise the thermocouple alarm is triggered.
13:00 Pressure on chamber= 6e-9mbar
13:55 I have begun ramping up the middle controller. Ramp time is 45 minutes, slightly longer than the recommended 15-30 mins in the manual to see how well vaccum reacts. Controller will hold maximum power for one hour for activation. Controller has 4 outputs, one for each neg cable. Outputs 1-3 are the 2 negs in series, output 4 is the 3 negs in series. Parameters for outputs 1-3 are; Vmax=100V, Imax=5A, Pmax= 400W. Parameters for output 4 are; Vmax=110V, Imax=5A, Pmax=600W.
14:05 Pressure chamber = 1.92e-6mbar. Power per output is 60, 78, 79, 87 W.
14:15 Pressure chamber = 2.4e-6mbar. Power per output is 114, 148, 150, 168 W.
14:25 Pressure chamber = 1.44e-6mbar. Power per output is 201, 261, 264, 300W.
14:35 Pressure chamber = 1.12e-6mbar. Power per output is 305, 398, 397, 466W
14:56 Pressure chamber = 1.52e-6mbar. Negs are on max power and are holding for an hour.
15:45 Intial activation completed. Negs have been set to half power to maintain temperature at ~200-250C. Parameters set for outputs 1-3; Vmax= 50V, Imax=5A, Pmax=200W. Parameters set for output 4; Vmax=70V, Imax=5A, Pmax=300W. Pressure on the chamber is now 4.4e-8mbar. The pressure tomorrow morning should tell us if the activation has been successful, afterwhich I will activate the next lot of negs. Average vessel temperature is now 146C. I believe our 'internal thermocouple' isn't reading the internal temp after all and is just the temperature of the bottom feedthrough flange, as its temp maxed out at 175C and followed a similar gradient to the other vessel thermocouples. See attached temperature plot.
20 October
08:30 Pressure on the vessel = 2e-8mbar. Pressure hasn't dropped significantly probably due to negs maintaining a temperature of 200-250C causing significant outgassing. Chamber temperature has maintained at 145C so outgassing from chamber will also have increased also.
08:45 2nd set of negs activated. Intermediate chamber negs activated (2x 2 negs in series) which are connected to outputs 3+4 on the left controller. Parameters for outputs; Vmax=100V, Imax=5A, Pmax=400W, Rise time= 30mins, Hold = 60mins.
First set of negs remains at half power.
Pressure on the vessel =8e-8mbar
08:55 Pressure on vessel = 1e-6mbar. Outputs; V=74, 74W I=2.2, 2.2A P=79, 79W
09:05 Pressure on vessel = 2.2e-7mbar. Outputs; V=58,58V I=3,3A P=175,175W
09:10 Pressure on vessel = 3e-7mbar. Outputs are; V=79,79V I=3.7A P=300,300W.
09:20 Pressure on vessel = 1.2e-6mbar. Outputs are; V=100V, I=4A, P=400W. Negs are now on hold for the hour activation. Chamber temperatures are stable at ~145C still
10:45 Negs are being held at half power to maintain temperature. All that is left is the D2000's on Thursday. Pressure in the vessel is staying constant at ~8e-8mbar. Chamber temperatures ae stable at ~145C.
|
Attachment 1: Photos.zip
|
Attachment 2: 20201019_154921.jpg
|
|
26
|
Mon Oct 12 10:49:36 2020 |
JM | First Bakeout of CARME with pumps (cont) |
12 October
10:00 Bakeout temperatures stable. Pressure on the backing line is 3e-7mbar which is the lowest we have achieved on the backing line so far. Vessel pressure can't be measured at the moment as temperature exceeds the max operational temperature of 80C.
14 October
11:30 Bakeout temperatures stable. Slight day/night cycle can be seen and a ~2-3C dip over the weekend, probably due to heating in ETC turning off at night and over the weekend. Vessel average remains ~130C. Pressure in the backing line dropped to 2.5e-7mbar. EU plug Adapters have been delivered, waiting on leads to begin activation of NEG pumps. |
Attachment 1: temp6.png
|
|
25
|
Mon Oct 5 08:21:40 2020 |
CB (remotely), JM | First bake of CARME with pumps (cont.) |
5 OCTOBER
08:21 Temperatures stable overnight. See attached. Baking continues.
Remember to visually inspect the tent for potential new drafts the next time in Daresbury.
Also remember to check on thermocouple 1-4 status / position.
10:15 Draft caused by section of top blanket collapsing slightly producing a hole at the top-back end of the volume. Only way to remove draft is to power down and shift
some of the larger blanket sections over to support the collapsed bit. Keith requires temperatures >120C for the vessel average to proceed so heat was powered down and
jacket reconstructed. See attached photos of the top of the baking volume (Sorry for quality).
Pressure on vessel when baking concluded: 2e-7mbar
13:00 Baking jacket all sorted, temperature set to 60C to equilibrate. New session had to be started as cooling then heating confuses the rates on the baking program. All
looks good at the moment, will continue to monitor and increase temperature when needed. Automatic mode may be able to be utilised again as rectifying the insulation on
the
back has reduced the thermal gradients somewhat.
Pressure on vessel when baking restarted: 1e-8 mbar
Bumax order has also been delivered
16:00 Monitoring remotely, bakeout has been on automatic for an hour and is currently at 67C. Vessel gradient ~7.5C
17:15 All looks okay, will leave for a few hours now. Temp is 73C, gradient ~8.2C
22:00 Automatic baking to 90C gradient >10C. Ramped to 105C for RE72-1 and 100C for RE72-2. Will leave overnight to stabilise
6 October
9:50 Temperatures stable, increasing to 115C, 105C
11:20 Temperatures stable, Increasing to 120C, 115C
13:15 Temperatures stable, increasing to 125C, 120C. Vessel average ~115C.
14:45 Temperatures stable (see attached). Increasing to 130C, 125C. Vessel average~120C
20:16 Temperatures stable, may be getting to edge of how hot we can get. Increasing to 135C, 130C overnight. Vessel average~128C should be sufficient.
7 October
07:30 Temperatures stable, have reached max temperature we can achieve 132C, 128C on RE-72 thermocouples. Vessel average~131C should be sufficient. Temperatures will be
maintained until Monday when negs can be activated.
20:30 Temperatures stable (see attached)
8 October
10:30 Temperatures stable
9 October
8:30 Temoeratures remain stable |
Attachment 1: 20201005_0821_temps.png
|
|
Attachment 2: 20201005_110422.jpg
|
|
Attachment 3: 20201005_134003.jpg
|
|
Attachment 4: temps3.png
|
|
Attachment 5: temp4.png
|
|
Attachment 6: temp5.png
|
|
24
|
Wed Sep 30 08:10:36 2020 |
CB (remotely) | First bake of CARME with pumps |
(NOTE: Raspberry Pi internal clock appears to be on GMT, rather than GMT+1 due to daylight saving.)
Baking started on Mon 28 Sep. Due to WiFi connection authorisation expiring, no remote access was possible until
Tue 28 afternoon.
JM found remotely that temperature had increase up to 80 C, and baking had then been shut off due to negative
gradients. Unclear what happened. System was already cooling.
Restarted baking in automatic mode. Baking shut down automatically after few hours. It is likely the automatic
mode was being confused by vessel cooling and being heated at the same time.
29 SEP
20:30 Switched to manual mode (60 C). Left overnight
---
30 SEPTEMBER
08:10 Temperatures seem stable, but slight temperature gradient across vessel would prevent automatic mode from
working. See attached.
Unclear why RE72-1 let temperature reach 64 C when setpoint is 60 C. May be affected by RE72-2. Not worrying,
but may confuse automatic mode.
Ramped up to 70 C manually.
11:10 Temperatures stable. Ramped to 80 C manually. Gradient in CARME vessel unchanged at ~11 C. See attached.
14:18 Found baking had stopped shortly after last check. One floating thermocouple reported temperatures above 300 C.
Unclear what happened. Possible fault? See attached.
Restarted baking manually at 40 C. Waiting for temperature to stablise. Vessel still cooling - cannot activate
automatic mode.
15:00 Temperatures OK. Ramped manually to 50C
18:10 Temperatures stable. Ramped manually to 60 C. Left automatic mode on
20:20 Found temperature setpoint at 66 C. See attached.
Huge spikes in thermocouple 1-4 Floating (side-low). Same thermocouple that reported temperature spikes earlier. May be
faulty or touching heater directly. Seems to happen for T=65 C and above.
Set to manual mode. Temperature setpoint 60 C. Left overnight.
Will ask local people to remove thermocouple 4 from picologger 1 (aka #A0067/395, port 4) to continue.
---
1 OCTOBER
08:00 Temperatures stable. Interesting behaviour of problematic thermocouple 1-4. Trend looks real. Seems to confirm
hypothesis it is touching a heater.
Waiting for DL locals to disconnect it.
09:49 Thermocouple 1-4 disconnected. Increased temperature manually to 75 C / 70 C.
It appears RE72-1 consistently reports 5C above its set point. It controls 3 heaters vs. 8 heaters controlled by RE72-2.
This is most likely due to RE72-2 needing greater power output to reach its setpoint, increasing RE72-1 thermocouple
temperature as a result.
RE72-2 may be doing very little at the moment.
Hopefully placing its setpoint 5 C above will force both heaters to work together. This may decrease temperature
gradients observed in the vessel.
16:20 Increased temperature manually in steps up to 95 / 80 C.
The uneven temperature setpoints associated with the two RE72 appears to have reduced the temperature gradient in the vessel.
Will ramp up to 100 later this evening and leave overnight.
See attached.
22:00 Temperature stable. Rates are showing unphysical rates (too high / too low) and occasionally going all to zero at the
same time.
Looks like a previously observed bug that I thought had been sorted. Will have to debug again. Does not affect manual mode.
Ramped temperature to 105 / 95 C. Leaving overnight.
See attached.
---
2 OCTOBER
07:58 Temperatures stable. See attached. Slight gradient in CARME vessel (~11 C). Not worrying.
Ramped manually to 115 / 105 C
12:16 Temperatures stable. See attached. Valve temperature at 46 C.
Ramped manually to 125 / 115 C
17:34 Temperatures stable. See attached. We seem to be getting close to the upper limit of what the tent can achieve.
Ramped manually to 135 / 125 C
Will leave overnight.
---
3 OCTOBER
08:32 We have been baking at full power (heaters always on). Temperatures stable.
Appears to be as high as we can go with this tent configuration.
Will leave in manual mode and monitor throughout the day.
See attached.
17:00 Temperatures stable. No changes from this morning.
21:00 Temperatures stable. See attached. Baking continues overnight.
---
4 OCTOBER
07:30 Found temperatures decreased overnight by around 10 C. See attached.
We are still baking at full power. Room temperature has not changed.
Most likely explanation is part of the tent giving / moving due to the baking and a draft being developed.
Will keep monitoring during the day.
13:50 Temperatures stable, no changes from last check.
20:22 Temperatures stable. See attached. Leaving overnight. |
Attachment 1: 20200930_0810_ctrl.png
|
|
Attachment 2: 20200930_0810_temps.png
|
|
Attachment 3: 20200930_1112_temps.png
|
|
Attachment 4: 20200930_1419_temps.png
|
|
Attachment 5: 20200930_2031_ctrl.png
|
|
Attachment 6: 20200930_2031_temps.png
|
|
Attachment 7: 20201001_0800_temps.png
|
|
Attachment 8: 20201001_1620_ctrl.png
|
|
Attachment 9: 20201001_1620_temps.png
|
|
Attachment 10: 20201001_2200_temps.png
|
|
Attachment 11: 20201002_0757_temps.png
|
|
Attachment 12: 20201002_1215_ctrl.png
|
|
Attachment 13: 20201002_1215_temps.png
|
|
Attachment 14: 20201002_1732_ctrl.png
|
|
Attachment 15: 20201002_1732_temps.png
|
|
Attachment 16: 20201003_0833_temps.png
|
|
Attachment 17: 20201003_2100_temp.png
|
|
Attachment 18: 20201004_0732_temps.png
|
|
Attachment 19: 20201004_2021_temps.png
|
|
23
|
Mon Sep 28 13:04:27 2020 |
JM | Baking |
I have (fingers crossed) got the baking stepping up nicely now. I have used some of the outer shell to act as a shield and to direct airflow towards the front and back of the baking volume. I also moved the RE-72 thermocouples to a higher temperature region and replaced the broken thermocouples. The program is on automatic mode and has currently stepped up to 40C with the max diff reduced to ~4C.
I will continue monitoring for a bit but all looks good at the moment.
Additionally, our baking exploits over the weekend got us down to 9e-9 mbar when I came in this morning. |
Attachment 1: 20200928_081831.jpg
|
|
Attachment 2: 20200928_130239.jpg
|
|
22
|
Sat Sep 26 09:31:46 2020 |
JM, CB (both remotely) | Baking over weekend |
Sat 26 Sep
09:15 Baking started automatic mode
13:30 Baking continues, heating is slower than expected due to high chamber bottom temperatures
00:00 Baking stopped. Temperatures won't increase due to high temperature of the bottom of the chamber producing thermal gradients that are too high. I believe this is due to heaters being closer to the chamber as tray sits higher up than in previous test (see heaters.png). A shield above the heaters, similar to those we utilised in early tests in Edinburgh, may help to disperse the heat more evenly around the baking volume and not directly at the bottom of the chamber. I can improvise a shield similar to those using pieces of the aluminium outer layer of the baking setup I have spare on Monday and restart baking. This will also allow me to replace the two damaged thermocouples
Sun 27 Sep
11:50 Baking restarted. Manually set temperature to 50 C. Started automatic mode for additional safety checks. Will check again / increase temperature manually in a few hours
13:45 Found baking had stopped due to automatic mode detecting negative temperature gradient in TC7 Floating (bottom). See plot attached. Restarted baking at 50 C in manual mode
17:30 Found temperatures had stabilised. Increased temperature to 60 C. Issues seems to be that bottom thermocouples run hotter than the reference thermocouples of the RE72. The temperature the RE72 are set to maintain is not the temperature of the chamber. This is the cause of the strong gradients. Swapping the position of the thermocouples may be sufficient. Thermocouples presumed faulty on Friday may be working OK - could just be positioned in hot spot immediately above heaters.
18:00 Baking off to allow cooling down overnight. |
Attachment 1: temps.png
|
|
Attachment 2: temps2.png
|
|
Attachment 3: Heaters.jpg
|
|
Attachment 4: 20200927_1732_temps.png
|
|
21
|
Fri Sep 25 12:58:33 2020 |
JM | Friday 25/09/20 |
10:00 Vessel P = 3.99e-8 mbar, RGA P = 1.4e-6 mbar
10:54 Vessel P = 3.89e-8 mbar, RGA P = 1.4e-6 mbar
Vessel continues to pump down. Baking Jacket fully installed and testing of baking begins before leaving on automatic mode for the week. Some baking jacket pieces may need to be re-jigged a little for use in GSI with the interaction chamber but will be fine for this bakeout. Note that due to the valves 'being in the way' the front of the baking volume holds the majority of the heaters
During this testing phase I have noticed the vessel pressure increase slightly even with vessel temperatures of approx 30C. Pvessel = 5.66e-8 mbar currently.
Automatic mode started 14:06. I will monitor to check everything is okay before letting it run over the weekend
16:00 I have noticed that two of the thermocouples floating(back) and floating(top) may be damaged as they were giving sharp spikes in temperature. I have just removed these thermocouples so they should just read the picologger temp. The jacket looks like it is holding temperature quite well. I have set the temperature to 35C and am currently waiting for temperatures to equillibriate before putting back into automatic mode. Pvessel = 1.68e-7 mbar
19:00 Temperatures look good, I will begin bakeout tomorrow morning now, so if anything goes wrong its not in the middle of the night. Have a good weekend all!
|
Attachment 1: Photos.zip
|
20
|
Thu Sep 24 10:04:58 2020 |
JM, TD | Thursday 24 September |
Following overnight pumping by Leybold turbo pump
09.12 6.26e-8mbar, 2.8e-6mbar (RGA station), leak rate 5e-12 mbar.l/s
09:52 6.08e-8mbar, 2.8e-6mbar (RGA station), leak rate 8e-12 mbar.l/s
10.10 6.02e-8mbar, 2.8e-6mbar (RGA station), leak rate 8.5e-12mbar.l/s
KM says leak cart currently isolated CARME chamber + RGA cart - no He
has been used around chamber this week so figure represents noise.
10.36 5.94e-8mbar, 2.8e-6mbar (RGA station), leak rate 4.7e-12 mbar.l/s
10.40 KM isolated RGA station (@RGA station) from CARME chamber to replace current RGA (FC sensor) with a more sensitive RGA (with multiplier + FC sensor)
CARME vacuum pressure (with no backing) will rise somewhat during this process
11.17 1.35e-7mbar
11.20 New RGA install complete, backing line opened, CARME pressure recovers in < 10s to 5.83e-8mbar
14.08 5.59e-8mbar, 2.7e-6mbar (RGA station), leak cart disconnected (by KM)
Cables for neg and neg ion pumps connected with cables trailed out of the octagon through back and front of the octagon. Neg-ion pump connectors are labelled with Kapton
tape to prevent confusion with neg connectors. Baking jacket has been partially constructed and will be completed tomorrow. |
Attachment 1: 20200924_152852.jpg
|
|
Attachment 2: Photos.zip
|
19
|
Wed Sep 23 13:34:32 2020 |
JM, TD | Wednesday 23 September |
Connected Leybold Ionivac IE514 to Leybold Combivac CM52 Vacuum Gauge Controller
Rear panel - power ON
POST checks OK
Change parameters by
select PARA
select <-> to display Sen
select PARA to display S-on
select <-> to display HAnd
select PARA and hold for 7s
select CH to select CH3
select -> hold for 2s
With CARME gate valve closed for c. 24h CARME chamber pressure is 6.43e-5mbar @ 13.34, 6.52e-5mbar @ 14.15
15.45 CARME Leybold turbo restarted
16.06 1.58e-6mbar, 3.5e-5mbar (RGA station), leak rate 3.6e-10 mbar.l/s
16.27 9.44e-7mbar, 3.3e-5mbar (RGA station), leak rate 3.6e-10 mbar.l/s
16.39 7.67e-7mbar
17.00 5.85e-7mbar, 2.5e-5mbar (RGA station), leak rate 2.60e-10 mbar.l/s
17.20 4.83-7mbar, 2.3e-5mbar (RGA station), leak rate 2.17e-10 mbar.l/s
Baking octagon moved into position along with the bottom insulation and heaters
|
Attachment 1: 20200923_170441.jpg
|
|
Attachment 2: 20200923_170455.jpg
|
|
Attachment 3: 20200923_170415.jpg
|
|
Attachment 4: 20200923_161415.jpg
|
|
Attachment 5: 20200923_161402.jpg
|
|
18
|
Wed Sep 23 13:34:04 2020 |
JM | Tuesday 22 September |
Turbo-pump Issue approximately 13:00 22/9/20.
While moving the baking octagon into position, the power connector became disconnected from the turbo pump. The pump controller provided the warnings of connector failure and high pump load (probably due to it reading the frequency of the pump as 0 Hz when disconnected and thinking this was due to a high pump load). I believe the valve then was slightly knocked causing a vibration in the turbo, which couldn't be corrected for by the controller as it had become disconnected. The result of this was the turbo noise growing louder (similar to the turbo in the edinburgh lab when venting). At the time I didn't know the connector had become disconnected, as it was still attached to the turbo, and due to the warning messages on the controller, noise of the turbo and lack of information on what had happend, I decided to close the valve connecting the chamber to the turbo and vent the backing line up to the turbo and in order to remove and replace the turbo.
The chamber was in the high -6 mbar range when the valve was closed. The turbo was replaced with the second turbo which began pumping again (with the power connector properly secured this time) on 23/9/20. The turbo doesn't show any obvious sign of damage, the blades in it are free to spin with no hindrance, but we will have to get it tested by the vac lab to be sure. |
17
|
Mon Sep 21 09:51:57 2020 |
JM | CARME Status |
Cooling of baking volume finished over the weekend, attached are some plots of the bakeout test. Bakeout2.png is the thermocouple profile for the entire period over the week. The heating in the first seven hours followed a nice linear rise of ~5C/hour, in the 7-40 hour period the heating rate slowed due to an issue with our octagon average limiting the increase in the temperature. The period from 40-65 hours was due to the picologger heating up to unacceptbly high temperatures which paused the heating. The octagon average and picologger problems were solved after this, with hours 65-75 showing the linear increase seen previously of ~5C/hour until the maximum temperature of the was reached. The maximum of the RE-72 controllers was 135C with the chamber average ~140C. The next 48 hours the bakeout was left to maintain its temperature, with slight day/night variations observed. During this period both heaters were on in order to maintain the temperature as can be seen in RE72_test2.png, where 1 represents the heater on and 0 the heater off (note for RE72 1 I have shifted these values to 1.5 and 0.5 respectively to better show on the same plot). The rest of the bakeout time shows the cooling of the volume in a serious of 10C and 5C steps.
Graphs for the cooling profiles of each step (5C and 10C) are attached. Keeping the chamber temperature gradient below 10C is most dependent upon the top and bottom temperatures of the chamber. The bottom of the chamber cools much faster than the top of the chamber, down to a minimum value before the heaters kick back in once the RE72 temperatures arrive at the set point. An equillibrium time is then required for the top of the chamber to cool before the next step can be implemented. The 5C steps are recommened for future cooling due to chamber gradients not exceeding the 10C limit and the shorter 'equillibrium time'. Top/Bottom_test2.png shows the difference in chamber and air temperatures between the top and bottom of the baking volume, with a large difference in the air temperature between top and bottom potentially having a significant effect on the cooling of the chamber. I hope improved insulation on the main chamber will result in less heat losses from the bottom of the baking volume and a smaller cooling gradient for the bottom of the chamber during the step down.
I have deconstructed the baking jacket on the chamber and am ready to begin moving the jacket over to the other chamber.
Pressure on the leak cart is 7.1e-7 mbar as of 09:44. |
Attachment 1: Graphs.zip
|
16
|
Fri Sep 18 10:28:57 2020 |
JM, CB(remotely) | Baking status |
10:28
Reducing temperature in steps. System cooling down. See attached.
Pressure on the leak cart: 1.6e-6 mbar |
Attachment 1: 20200918_1029_temps.png
|
|
15
|
Thu Sep 17 10:13:43 2020 |
JM, CB(remotely) | Bakeout cooling and pumping of chamber |
The Chamber has continued to pump overnight and we have reached a pressure of 3.3e-6 mbar as of 10:15. Power cable for the hot cathode gauge was found. Gauge did not give a reading so pin connections were checked, all is okay so it may be thepressure is still too high within the chamber, gauge was thus turned off. I have attached the RGA from this morning which has increased accuracy so has been cleared up for most of the noise from yesterday. I have begun removing the covers for the neg-ion pumps, attached is the how it looks without the cover. The covers have strong magnets in them so I will put in a box far away from our electronics.
10:15 3.3e-6 mbar (cart)
11:27 3.2e-6 mbar (cart)
13:26 3.2e-6 mbar (cart)
16:00 3.2e-6 mbar (cart)
I have begun manually reducing the temperature for the bakeout, to observe the rate of cooling across the vessel. I have noticed a bug in the program, the rate of each thermocouple reads as if it is increasing despite the decrease in temperature of the thermocouple. The actual temperatures and averages appear to be working okay.
09:43 RE-72 temperatures are 135 deg. Temperature set to 125 deg.
11:01 RE-72 temperatures are 125 deg. Chamber temps equillibriating, average chamber temperature 125.5 deg and max difference is ~3 deg. Temperature set to 100 deg.
11:14 RE-72 temperatures are 115 deg. Chamber max difference exceeds 10 deg, chamber average is 115 deg. Temperature set to 115 deg will wait to equilllibriate. Recommend not to lower temperature by >10 deg. Top of the chamber cools much slower than the bottom.
13:26 RE-72 temperatures are 115 deg. Chamber average is 115.3 deg, chamber max difference is 2.8 deg. Temperature set to 105 deg.
14:20 Previously unobserved bug occured - all temperature display frozen. Rates still OK. Temperature control still OK. Rebooted Baking.x remotely. Back OK. Reduced temperature to 90 C. See attached temperature plot before rebooting.
18:00 Temperature reduced to 80 deg.
21:25 Temperature reduced to 75 deg. |
Attachment 1: Photos.zip
|
Attachment 2: 20200917_1428_temp_last.png
|
|
14
|
Wed Sep 16 17:46:36 2020 |
JM | Pumping of Chamber |
A pump cart and leak cart have been connected to the chamber via the top turbo at the back of the chamber. An air line has also been connected to the valve to open/close it. The pirani gaige has also been replaced by a blank flange, a gauge on the pump cart can measure the pressure in its range. The turbo fan and controller were set up and pumping was started after lunch.
While pumping, the hot cathode gauge was connected to its controller and bakeable shell installed. Gauge will be turned on if pressure is sufficiently low tomorrow, currently no gauges are 'active' on the chamber with the only gauges on the pump cart.
An RGA is attached to the pump cart and can be seen in attached photos. Majority of contribution in RGA is water with significant contributions from gases in air (nitrogen, oxygen etc) as expected during early pumping. RGA is also quite noisy.
The leak rate observed is below the minimum value the leak cart can detect (<1e-12 mbar*l/s). Helium was sprayed around the chamber and on the wire seals, however no change in the leak rate was observed, so no significant leaks!
The turbo has been left to pump overnight with the pressure 2e-5 mbar at 16:15. Attached is the pressure against time from 15:00 till 16:15, the rate of pumping in this period was 0.68e-5 mbar/hour. |
Attachment 1: Photos.zip
|
13
|
Wed Sep 16 08:52:03 2020 |
CB (remotely) | Baking status 16-17 September |
16 September
08:50
Baking continues OK. Temperatures stable, did not increase with respect to yesterday evening.
See attached.
15:00
Baking continues OK.
See attached.
20:22
Baking continues OK.
See attached.
17 September
08:20
Baking continues OK. Temperatures slightly decreasing?
See attached. |
Attachment 1: 20200916_0850_temps.png
|
|
Attachment 2: 20200916_0850_ctrl.png
|
|
Attachment 3: 20200916_1500_temps.png
|
|
Attachment 4: 20200916_2022_temps.png
|
|
Attachment 5: 20200917_0820_temps.png
|
|
12
|
Tue Sep 15 08:39:26 2020 |
CB (remotely), JM | Baking and Chamber status |
Tuesday 15 September
08:40
Rates started working again. Unclear what yesterday evening's bug was caused by. Will investigate eventually.
Temperature setpoint reach T=150 , however even at full power (heating constantly on) the RE72 appear unable to
reach past T = 135
See attached.
Perhaps better insulation would be required.
However, this temperature is sufficient to bake CARME with the detectors inserted.
Day shift
Baking continues and will be left overnight to gather more data. Vessel temperatures are increasing by roughly 1.5 deg/hour with the vessel average temperature now 141.5
deg.
Wire seals fully closed and tightened. Bolts were tightened first finger tight, then to 50 N.m and finally to 80 N.m in a pattern so that seal is closed evenly. Leak and
pump cart are ready to be connected to the turbo for leak testing tomorrow.
19:20
Baking continues OK. Temperatures seem to increase slowly. See attached. |
Attachment 1: 20200915_0842_ctrl.png
|
|
Attachment 2: 20200915_0842_temp.png
|
|
Attachment 3: 20200915_152708.jpg
|
|
Attachment 4: image007.jpg
|
|
Attachment 5: 20200915_1920_temps.png
|
|
11
|
Mon Sep 14 12:07:40 2020 |
JM, CB (remotely) | Baking status |
Mon 14 Sep 09:50
Jordan moved the TC08 picolog 2 away from the chamber and built a makeshit heatshield.
Picolog 2 was facing a slit in the cloth thorugh which the scroll pump flexible hose is connected.
Baking restarted in automatic mode from 80 C
12:09
Baking progressed OK - see attached.
~13:00
CJC 2 getting too high again. Baking went off. Jordan caught it and temporarily set in manual mode.
Moved picolog 2 further away. Increased amount of heatshield. Restarted in auto
17:30
Baking continues OK - see attached.
Will leave overnight.
19:30
Baking continues OK, T=124C , see attached.
However rates shown on Baking.x program appear nonsensical. Too high, too low, sometimes they all go to zero.
Clearly a bug in the code. Should not affect baking for now.
Will try to debug. |
Attachment 1: 20200914_1207_temps.png
|
|
Attachment 2: 20200914_1207_ctrl.png
|
|
Attachment 3: 20200914_1727_temps.png
|
|
Attachment 4: 20200914_2000_temps.png
|
|
10
|
Sun Sep 13 08:06:25 2020 |
CB (remotely) | Baking over the weekend -2 |
Sun 13 Sep 08:06
Baking reached 93 C yesterday afternoon at 16:18 and paused as a result of a percieved temperature gradient in
the octagon.
See attached.
Left system overnight to check whether octagon gradient was going to equilibrate via convection.
No changes this morning.
Thermocouple 1-8 labeled "Octagon (side)" likely is not in thermal contact with the octagon.
It appears to have a temperature lower than room temperature. Possibly sits outside the tent? Gradient will not
decrease with time.
Temporarily overrode automatic mode, set temperature to 100 C, restarted automatic mode. Will keep monitoring.
Sun 13 Sep 10:00
Vessel reached 100 C, no gradients. All OK, except octagon gradient (ignoring).
Manually increased temperature to 110 C. Restored automatic mode.
Sun 13 Sep 12:00
Baking stopped automatically at 10:30 due to CJC temperature (aka room temperature 2) in TC-08 Picolog 2
exceeding 40 C.
Max operating temperature of picolog TC08 is 50 C, and accuracy is lost above 30 C.
https://www.picotech.com/data-logger/tc-08/usb-tc-08-specifications
Stopped baking. Removed thermocouple 1-8 "Octagon (side)" from Octagon gradient calculation and recompiled.
Restarted manually at T = 80 C
Please move picolog away from the tent tomorrow, and we can then increase temperature. Tent does not need to be
opened.
Sun 13 Sep 19:00
Vessel temperature at 80 C stable.
Other temperatures stable.
Leaving at 80 C overnight. |
Attachment 1: 20201309_0806_ctrl.png
|
|
Attachment 2: 20201309_0806_plot.png
|
|
9
|
Sat Sep 12 08:38:52 2020 |
CB (remotely) | Baking over the weekend |
Saturday 12 Sep 08:43
Remotely checked status of baking. See attached.
Baking appears to be proceeding OK. No thermocouples disconnected.
Speed is slower than previously observed, limited by thermal gradients observed across both octagon and CARME
vessel as a result of new thermocouple placement.
Octagon gradient may not be real, and could be a result of placement of Octagon side thermocouple?
Main concern is temperature recorded by CJC in Picolog2 (aka Room temperature 2) at 31 C, increasing.
The second picolog may be too close to the tent. If the temperature keeps increasing it may stop working. Will
keep monitoring. |
Attachment 1: 20200912_0839_ctrl.png
|
|
Attachment 2: 20200912_0839_plot.png
|
|
8
|
Thu Sep 10 11:34:30 2020 |
TD, JM | Thursday 10 September |
All neg feed-throughs have now been fully installed, with ground tags
and power wires crimped and attached to the correct pins. Feed-throughs
are installed as seen in Image
1 of zip file, with mark at the top of the feed-through. The pin
assignment is seen in Image 2. The pins are assigned letters A to F,
with F the top pin and C the bottom
pin as installed(refer to images 1+2). The ground is pin c, with the
two power wires connected to the bottom pins either side of the ground
(pins b and d). Connections of
wires from the negs to the feed-through is seen in image 3. Feed-
throughs have been electrically checked. The ground pin is checked
against the chamber giving
approx 0.5 ohms and the power pins are checked together giving 5-10
ohms. Each of the power pins are open circuit with respect to the
ground. A
thermocouple has been attached under the bolt of one of the neg pumps
(image 4).
Pirani gauge and hot cathode gauge have been installed on the chamber
(images 5,6,7,8) on the section furthest from the turbos.
Upper valve, turbo and supports have all now been installed (images
9,10,11). Images 12,13 are of the internal of the turbo and turbo
ports. The lower valve supports
produced by the Daresbury group (image 14) are also now attached. These
supports were required as the lower valve sits higher than anticipated
due to shorter right-angled
flanges.
Chamber is now ready to close and leak test! |
Attachment 1: 20200910_112152.jpg
|
|
Attachment 2: 20200910_112211.jpg
|
|
Attachment 3: Photos_(1).zip
|
7
|
Wed Sep 9 21:01:48 2020 |
JM | Neg Feedthroughs |
4/6 of the neg feedthroughs installed. Continuity between the power pins, ground pin and the chamber has been checked, we observe 5-10 ohms between the power pins similar to previous checks on the heaters. Also attached are the crimps for the ground tag and feedthrough connector pins. |
Attachment 1: Photos.zip
|
6
|
Fri Sep 4 15:48:25 2020 |
JM | Bakeout test completed |
Bakeout test completed. Maximum temperature reached within baking volume was 120 degrees, with baking stopped by the program due to detection of negative temperature gradients. Negative temperature gradients due to a couple of thermocouples becoming unsecured and moving to cooler part of baking volume. Attached documents show temperatures of thermocouples. The colour of plots are linked (all of chamber temperatures are blue etc). Temperature gradient across the chamber is low (less than 10 degrees). Heat loss at the front of the chamber is apparent in the air temperature gradient across the baking volume and between the RE72 temperatures.
Thermocouples have been resecured (extra tape so hopefully won't fall again) and some repositioned. Heating jacket has been remounted at the front to prevent heat losses seen in first test. Quick heating test was performed this morning up to 50 degrees and it appears heat loss at the front of the baking volume is much reduced.
Weeklong bakeout will begin monday morning. |
Attachment 1: 191214004923_temperatures.txt
|
1576283725 18.6543 26.4199 17.5024 19.5202 19.81 23.918 25.9857 26.5487 29.5657 19 26.6702 26.7033 26.1514 28.1346 18.1156 27.3472 23.598 25.6179 28.6 25.3 0 1 1 0 1 1
1576283728 18.6542 26.4161 17.4985 19.5275 19.8136 23.9068 25.9451 26.5449 29.5509 18.9995 26.6586 26.6991 26.1583 28.1377 18.2229 27.3393 23.557 25.6542 28.5 25.2 0 1 1 0 1 1
1576283731 18.6541 26.4086 17.4835 19.5236 19.7986 23.903 25.9707 26.519 29.5066 18.9991 26.6804 26.7061 26.1689 28.141 18.2485 27.3279 23.4242 25.5692 28.5 25.3 0 1 1 0 1 1
1576283734 18.6538 26.4194 17.5019 19.5382 19.8132 23.8954 25.9999 26.5334 29.5394 18.9986 26.6725 26.7093 26.1537 28.1369 18.4375 27.3017 23.4274 25.5172 28.5 25.2 0 1 1 0 1 1
1576283737 18.6537 26.4193 17.5054 19.5195 19.8094 23.8842 25.9741 26.5296 29.5761 18.9982 26.6832 26.7052 26.1644 28.1365 18.4148 27.2902 23.4674 25.4432 28.5 25.2 1 1 1 0 1 1
1576283740 18.6536 26.4007 17.4942 19.5082 19.8055 23.8657 25.9335 26.5185 29.6128 18.9978 26.6644 26.7159 26.1677 28.1471 18.3884 27.2862 23.5406 25.4024 28.5 25.2 1 1 1 1 1 1
1576283743 18.6533 26.3968 17.4939 19.5191 19.7978 23.8581 25.959 26.5182 29.5647 18.9973 26.6675 26.7154 26.1561 28.1429 18.2133 27.2746 23.4408 25.4718 28.5 25.2 1 1 1 1 1 1
1576283746 18.653 26.3929 17.5011 19.53 19.7939 23.8578 25.9072 26.5069 29.5534 18.9971 26.6305 26.6967 26.1558 28.139 18.265 27.2744 23.3706 25.5635 28.5 25.1 1 1 1 1 1 1
1576283749 18.6529 26.3927 17.4935 19.5262 19.7863 23.8467 25.9144 26.4921 29.5753 18.9967 26.6595 26.6926 26.1444 28.157 18.2126 27.274 23.5579 25.5815 28.5 25.1 1 1 1 1 1 1
1576283752 18.6527 26.3925 17.4858 19.5073 19.7935 23.8758 25.9436 26.4918 29.4721 18.9962 26.7289 26.6922 26.1439 28.1639 18.1787 27.2624 23.6347 25.5957 28.5 25.1 1 1 1 1 1 1
1576283755 18.6524 26.3959 17.5005 19.5034 19.7821 23.8977 26.0501 26.4842 29.5123 18.9959 26.7434 26.6882 26.1621 28.1894 18.171 27.2659 23.7337 25.7279 28.6 25.2 1 1 1 0 1 1
1576283758 18.6523 26.3921 17.4891 19.4995 19.7745 23.9196 26.1456 26.4841 29.5452 18.9957 26.7615 26.699 26.1581 28.2075 18.2562 27.273 23.9358 25.7571 28.7 25.4 1 1 1 0 1 1
1576283761 18.652 26.4066 17.5112 19.4881 19.7779 23.9598 26.2226 26.4912 29.6039 18.9953 26.7832 26.6986 26.1614 28.2513 18.4416 27.3241 24.1967 25.8193 28.8 25.6 1 1 1 1 1 1
1576283764 18.6517 26.4173 17.5592 19.4841 19.7851 24.0111 26.2812 26.5093 29.7876 18.995 26.8124 26.7021 26.187 28.2547 18.1775 27.4085 24.3179 25.9368 28.8 25.7 1 1 1 1 1 1
1576283767 18.6516 26.4135 17.5294 19.4914 19.7813 24.033 26.362 26.5129 29.9493 18.9949 26.8527 26.7129 26.2346 28.2729 18.1662 27.4893 24.3839 25.9991 28.9 25.9 1 1 1 1 1 1
1576283770 18.6514 26.4243 17.5254 19.4949 19.7922 24.0917 26.4906 26.52 30.048 18.9945 26.926 26.742 26.2048 28.302 18.2959 27.5772 24.4976 26.1496 29.1 26.1 1 1 1 1 1 1
1576283773 18.6511 26.4462 17.5177 19.4872 19.7957 24.1503 26.5897 26.5455 30.0878 18.9942 27.0066 26.7675 26.2229 28.3275 18.5 27.6947 24.6335 26.2377 29.2 26.3 1 1 1 1 1 1
1576283776 18.651 26.4497 17.5176 19.4982 19.8066 24.22 26.6668 26.5601 30.1751 18.994 27.0726 26.7709 26.2448 28.3714 18.4515 27.7533 24.67 26.3772 29.4 26.4 1 1 1 0 1 1
1576283779 18.6507 26.4568 17.5025 19.4943 19.8064 24.2971 26.7916 26.5745 30.2805 18.9937 27.1864 26.7633 26.2666 28.3932 18.4103 27.8634 25.0451 26.4726 29.5 26.5 1 1 1 0 1 1
1576283782 18.6506 26.4787 17.5023 19.5053 19.81 24.3742 26.9092 26.6038 30.3423 18.9935 27.2487 26.7778 26.2737 28.4187 18.3023 27.9625 25.3539 26.6159 29.7 26.7 1 1 1 1 1 1
1576283785 18.6505 26.4676 17.4985 19.5126 19.795 24.4035 26.9937 26.6111 30.3021 18.9933 27.3259 26.8034 26.292 28.4738 18.4545 28.1132 25.5892 26.7188 29.8 26.8 1 1 1 1 1 1
1576283788 18.6502 26.5041 17.5094 19.49 19.7799 24.4732 27.1517 26.6366 30.1743 18.9931 27.3955 26.8068 26.277 28.5103 18.458 28.2675 25.6515 26.862 30 26.9 1 1 1 1 1 1
1576283791 18.6499 26.5223 17.5166 19.5009 19.7982 24.5502 27.2692 26.6547 30.4692 18.993 27.5315 26.8435 26.2842 28.5433 18.3612 28.4109 25.8501 26.9612 30.2 27 1 1 1 1 1 1
1576283794 18.6498 26.5369 17.5127 19.5008 19.8055 24.642 27.4015 26.6914 30.7642 18.9927 27.6601 26.8469 26.295 28.5836 18.2086 28.5026 26.0632 27.1523 30.4 27.2 1 1 1 0 1 1
1576283797 18.6496 26.5366 17.5125 19.5191 19.7867 24.7338 27.5742 26.7095 30.6801 18.9924 27.8217 26.8687 26.3794 28.6201 18.4425 28.6863 26.2212 27.347 30.7 27.3 1 1 1 0 1 1
1576283800 18.6494 26.5586 17.5124 19.493 19.7866 24.833 27.7139 26.7389 30.7238 18.9922 27.9171 26.8906 26.4196 28.6971 18.3791 28.8553 26.2062 27.4793 30.8 27.4 1 1 1 1 1 1
1576283803 18.6492 26.584 17.5009 19.5001 19.7788 24.8916 27.8129 26.7643 30.9457 18.9922 27.9502 26.9458 26.4307 28.7413 18.3976 29.0577 26.3203 27.6154 31.1 27.5 1 1 1 1 1 1
1576283806 18.6489 26.5948 17.497 19.4999 19.7823 25.0054 28.0003 26.7972 31.022 18.9919 28.1155 26.9492 26.4157 28.7741 18.4159 29.2487 26.6842 27.8543 31.1 27.7 1 1 1 1 1 1
1576283809 18.6488 26.6057 17.4968 19.5035 19.7784 25.0899 28.0885 26.8265 30.9526 18.9918 28.1853 26.9674 26.4634 28.8144 18.2783 29.3921 26.938 28.0307 31.4 27.8 1 1 1 1 1 1
1576283812 18.6485 26.6386 17.504 19.4921 19.7819 25.1963 28.1765 26.863 30.9414 18.9917 28.2256 27.0299 26.4559 28.8842 18.2782 29.495 27.3095 28.1557 31.6 28 1 1 1 0 1 1
1576283815 18.6483 26.6604 17.5075 19.4992 19.7779 25.3396 28.3823 26.9069 31.2363 18.9914 28.2585 27.059 26.4225 28.9796 18.3968 29.6603 27.9053 28.2842 31.9 28.1 1 1 1 0 1 1
1576283818 18.648 26.6933 17.5183 19.5027 19.7814 25.446 28.5219 26.9508 31.2215 18.9913 28.3834 27.0736 26.4077 29.031 18.2332 29.7705 28.0744 28.5196 32.1 28.3 1 1 1 0 1 1
1576283821 18.6479 26.7152 17.5331 19.5174 19.7887 25.5305 28.6469 26.9985 31.3999 18.991 28.4531 27.0918 26.4037 29.0234 18.2441 29.9359 28.133 28.6886 32.3 28.4 1 1 1 1 1 1
1576283824 18.6475 26.7406 17.5253 19.5319 19.7883 25.6773 28.8194 27.0533 31.3011 18.9908 28.5559 27.1246 26.5507 29.1262 18.3702 30.1913 28.5816 28.784 32.5 28.5 1 1 1 1 1 1
1576283827 18.6474 26.7258 17.5252 19.5318 19.7845 25.714 28.9297 27.0716 31.2391 18.9906 28.7213 27.1466 26.5542 29.1555 18.4109 30.3187 28.8206 28.8538 32.8 28.5 1 1 1 1 1 1
1576283830 18.6472 26.7661 17.5139 19.5428 19.8066 25.7837 29.062 27.1267 31.3227 18.9905 28.7948 27.1869 26.5063 29.2142 18.2287 30.3768 28.953 29.0155 33 28.5 1 1 1 1 1 1
1576283833 18.6471 26.7733 17.5026 19.539 19.814 25.8719 29.1502 27.1891 31.4064 18.9902 28.9012 27.2271 26.5685 29.2508 18.1096 30.5041 29.0373 29.214 33.1 28.5 1 1 1 0 1 1
1576283836 18.6467 26.7877 17.4948 19.5386 19.821 25.9892 29.2197 27.2328 31.3878 18.9901 29.0667 27.2638 26.5279 29.3352 18.3658 30.6242 29.063 29.35 33.3 28.6 1 1 1 0 1 1
1576283839 18.6466 26.7802 17.4723 19.5273 19.8134 26.1069 29.3263 27.2769 31.6136 18.99 29.1732 27.3336 26.5904 29.3572 18.31 30.8317 29.21 29.4749 33.6 28.7 1 1 1 0 1 1
1576283842 18.6463 26.8241 17.4609 19.553 19.828 26.2133 29.5615 27.3428 31.5805 18.9897 29.2208 27.3701 26.6196 29.4489 18.1611 30.9408 29.1436 29.5483 33.7 28.9 1 1 1 1 1 1
1576283845 18.6462 26.8423 17.4571 19.5715 19.8353 26.2794 29.6938 27.3979 31.4784 18.9896 29.3678 27.3884 26.6488 29.5187 18.1423 31.039 29.0257 29.6953 33.9 29 1 1 1 1 1 1
1576283848 18.6461 26.8201 17.4533 19.5751 19.8426 26.3492 29.7783 27.4309 31.5912 18.9895 29.4928 27.3846 26.6708 29.5738 18.3875 31.1773 29.4376 29.7798 34 29.2 1 1 1 1 1 1
1576283851 18.6457 26.8492 17.4491 19.571 19.8348 26.4555 29.9324 27.5004 31.4341 18.9892 29.6765 27.4763 26.792 29.6508 18.4207 31.3884 29.9525 29.9746 34.1 29.4 1 1 1 1 1 1
1576283854 18.6456 26.8454 17.4639 19.582 19.8198 26.5768 30.0277 27.5592 31.6053 18.9891 29.6543 27.4799 26.8397 29.7463 18.5989 31.4866 30.1969 30.1969 34.4 29.5 1 1 1 0 1 1
1576283857 18.6454 26.8857 17.4712 19.5967 19.8234 26.6981 30.1259 27.6032 31.9367 18.9888 29.6798 27.5017 26.8357 29.8564 18.554 31.5665 30.7031 30.3679 34.5 29.7 1 1 1 0 1 1
1576283860 18.6452 26.8928 17.4746 19.5779 19.8417 26.8376 30.3006 27.6618 32.2789 18.9887 29.7864 27.5236 26.7068 29.8784 18.4462 31.7194 31.0928 30.6228 34.7 29.7 1 1 1 1 1 1
1576283863 18.6452 26.9517 17.4709 19.5928 19.8343 26.9443 30.4172 27.6949 32.1514 18.9884 29.937 27.5786 26.6771 29.8818 18.3939 31.8394 30.9796 30.6262 34.8 29.9 1 1 1 1 1 1
1576283866 18.6449 26.9625 17.4707 19.5888 19.8415 27.0434 30.5226 27.7462 31.9253 18.9883 30.1306 27.5821 26.7469 29.8927 18.5387 31.8502 31.0596 30.7317 35.2 29.8 1 1 1 1 1 1
1576283869 18.6447 26.9953 17.4815 19.5774 19.8226 27.1756 30.6243 27.8048 31.9869 18.9881 30.338 27.6223 26.7356 29.9661 18.6611 32.0103 31.3253 30.9355 35.2 29.9 1 1 1 1 1 1
1576283872 18.6445 27.0651 17.474 19.5587 19.8262 27.3153 30.7226 27.8414 31.8228 18.9878 30.5345 27.5595 26.809 30.0499 18.4044 32.1448 31.6785 31.0118 35.4 29.9 1 1 1 0 1 1
1576283875 18.6443 27.0685 17.4626 19.5658 19.8185 27.396 30.8353 27.9001 31.8044 18.9875 30.5925 27.574 26.8161 30.0934 18.5119 32.283 32.0171 31.2046 35.6 30.2 0 1 1 0 1 1
1576283878 18.6442 27.0574 17.4736 19.5471 19.8073 27.5063 31.0027 27.9662 31.7897 18.9874 30.6798 27.5849 26.735 30.1916 18.5787 32.4031 32.1845 31.4267 35.8 30.2 0 1 1 0 1 1
1576283881 18.6439 27.0498 17.4771 19.532 19.7958 27.5538 31.1081 28.0137 31.7275 18.9872 30.7379 27.5699 26.9187 30.2424 18.7085 32.5195 32.0896 31.5285 36 30.6 0 1 1 1 1 1
1576283884 18.6438 27.1011 17.4807 19.5282 19.7957 27.6383 31.2792 28.0578 31.7019 18.987 30.7997 27.5956 26.9112 30.2751 18.7121 32.676 31.6377 31.6231 36 30.6 0 1 1 1 1 1
1576283887 18.6436 27.1378 17.4954 19.5318 19.8068 27.778 31.3192 28.1496 32.3357 18.9868 30.8614 27.6284 26.7601 30.2748 18.578 32.8069 31.5718 31.7613 36.2 30.7 0 1 1 1 1 1
1576283890 18.6434 27.1523 17.4803 19.5166 19.7991 27.8845 31.3116 28.1935 32.2589 18.9866 30.9159 27.6356 26.8078 30.3257 18.6708 32.9124 31.7575 31.9178 36.4 30.6 0 1 1 0 1 1
1576283893 18.6433 27.2147 17.4913 19.5239 19.7952 27.8917 31.3334 28.2449 32.3535 18.9866 30.9887 27.6467 26.782 30.3184 18.5964 33.0909 31.7575 32.0271 36.5 30.7 0 1 1 0 1 1
1576283896 18.6431 27.244 17.4912 19.5164 19.7877 27.9836 31.4207 28.3221 32.4153 18.9864 31.1342 27.7715 26.8075 30.3582 18.6259 33.1854 31.892 31.8337 36.5 30.8 0 1 1 0 1 1
1576283899 18.643 27.2402 17.5022 19.5237 19.7838 28.0423 31.621 28.3771 32.2403 18.9863 31.2653 27.8597 26.7927 30.4565 18.5886 33.291 31.9794 31.7936 36.5 30.9 0 1 1 0 1 1
1576283902 18.643 27.2623 17.4985 19.5534 19.7875 28.1269 31.6574 28.4323 32.3788 18.9861 31.3781 27.8081 26.8441 30.3871 18.6963 33.4001 32.0703 32.1614 36.6 30.9 0 1 1 1 1 1
1576283905 18.6427 27.2399 17.5131 19.542 19.7761 28.2701 31.7191 28.4983 32.7756 18.9859 31.3997 27.7526 26.807 30.3431 18.4954 33.4254 32.081 32.4271 36.8 30.9 0 1 1 1 1 1
1576283908 18.6426 27.2472 17.4869 19.5345 19.7611 28.3583 31.81 28.5349 32.903 18.9856 31.3849 27.826 26.7516 30.3247 18.2982 33.5126 32.0917 32.405 36.7 31.1 0 1 1 1 1 1
1576283911 18.6425 27.2507 17.498 19.5269 19.7647 28.4612 31.9083 28.601 32.9758 18.9854 31.3737 27.9324 26.7513 30.3426 18.1604 33.6508 32.1133 32.5869 36.8 31.4 0 1 1 0 1 1
1576283914 18.6423 27.28 17.5015 19.523 19.7646 28.4795 32.0721 28.6671 33.1104 18.9852 31.4537 27.844 26.7733 30.2733 18.3461 33.7782 32.1022 32.758 36.7 31.3 0 1 1 0 1 1
1576283917 18.6422 27.3057 17.4977 19.5303 19.7719 28.5603 32.0938 28.7112 32.9937 18.985 31.4571 27.9136 26.9202 30.3277 18.3235 33.8799 32.1676 32.6995 36.6 31.4 0 1 1 0 1 1
1576283920 18.6422 27.2983 17.4754 19.5229 19.783 28.6118 32.1412 28.7443 32.7715 18.9848 31.5153 27.8951 26.8649 30.2255 18.3791 33.9854 32.386 32.8852 36.6 31.4 0 1 1 1 1 1
1576283923 18.6421 27.2835 17.4901 19.5302 19.7755 28.7552 32.2686 28.8177 32.6912 18.9846 31.6681 27.847 26.9566 30.1998 18.4309 34.0909 32.2291 33.0088 36.6 31.4 0 1 1 1 1 1
1576283926 18.642 27.3201 17.5049 19.5301 19.7717 28.7882 32.3049 28.8728 32.6583 18.9845 31.7299 27.9352 27.0411 30.1377 18.3825 34.1636 32.3237 32.8447 36.5 31.4 0 1 1 1 1 1
1576283929 18.642 27.3054 17.4863 19.519 19.7605 28.8066 32.3158 28.9022 33.3651 18.9843 31.7297 27.9313 26.9416 30.0938 18.1631 34.178 32.5239 33.0304 36.6 31.3 0 1 1 1 1 1
1576283932 18.6418 27.412 17.4899 19.53 19.7752 28.9463 32.4177 28.9647 32.9569 18.9841 31.8278 28.0231 26.9634 30.1009 18.3486 34.1996 32.4654 32.99 36.6 31.5 0 1 1 0 1 1
1576283935 18.6418 27.3752 17.4862 19.5486 19.7789 29.0456 32.4323 29.0309 33.0954 18.9839 31.9006 28.0561 27.0443 30.0753 18.1738 34.2906 32.5127 33.1976 36.7 31.5 0 1 1 0 1 1
1576283938 18.6417 27.353 17.4934 19.5335 19.7788 29.1301 32.6362 29.0675 32.8584 18.9838 31.9369 28.0854 26.9154 30.0533 18.4116 34.316 32.6291 33.3833 36.8 31.6 0 1 1 0 1 1
1576283941 18.6417 27.3603 17.4786 19.5298 19.7639 29.1558 32.6434 29.119 33.1936 18.9836 32.0059 28.1514 27.0476 30.0057 18.4522 34.4141 33.0624 33.5943 36.9 31.8 0 1 1 1 1 1
1576283944 18.6416 27.3345 17.4859 19.5334 19.7824 29.2403 32.7016 29.1741 33.4121 18.9834 32.0531 28.1954 26.9996 30.0748 18.5115 34.4723 33.2153 33.576 36.9 31.9 0 1 1 1 1 1
1576283947 18.6416 27.3712 17.4859 19.5371 19.7824 29.3176 32.6834 29.2219 33.4194 18.9832 31.9508 28.1841 27.0803 30.0927 18.3589 34.5922 33.164 33.6049 37 32.1 0 1 1 1 1 1
1576283950 18.6414 27.4005 17.4895 19.5147 19.76 29.4131 32.7561 29.277 33.0695 18.9829 31.9396 28.2685 27.2603 30.0123 18.5481 34.7195 32.8323 33.8087 37 32.2 0 1 1 0 1 1
1576283953 18.6414 27.3748 17.5043 19.5147 19.7562 29.4499 32.8326 29.3285 33.3099 18.9829 31.9542 28.3053 27.1426 29.9499 18.4032 34.7195 32.8068 33.907 36.9 32.1 0 1 1 0 1 1
1576283956 18.6413 27.3894 17.5117 19.4923 19.7673 29.4792 32.9382 29.3762 33.0183 18.9828 31.9723 28.2831 26.999 29.9682 18.4774 34.7777 32.7228 33.9689 37 32.2 0 1 1 0 1 1
1576283959 18.6413 27.4004 17.5079 19.4737 19.7636 29.5823 33.0111 29.424 32.9273 18.9827 32.0487 28.316 27.1166 29.8503 18.5665 34.8577 32.8211 34.1035 37 32.2 0 1 1 0 1 1
1576283962 18.6413 27.4041 17.4968 19.4626 19.771 29.6007 33.0657 29.4756 32.9528 18.9825 32.0741 28.3086 27.1349 29.7913 18.3211 34.9414 32.8756 34.1216 37 32.3 0 1 1 1 1 1
1576283965 18.6413 27.4483 17.5154 19.4551 19.771 29.6522 33.1495 29.5087 33.0402 18.9824 32.1687 28.382 27.1458 29.839 18.4399 34.9959 33.1669 34.1543 36.9 32.2 0 1 1 1 1 1
1576283968 18.6413 27.4041 17.5079 19.4514 19.771 29.7184 33.0475 29.5602 33.0074 18.9823 32.2596 28.3966 27.1309 29.8794 18.4583 35.0978 33.4619 34.2197 36.9 32.3 0 1 1 1 1 1
1576283971 18.6412 27.4187 17.5078 19.4513 19.7597 29.7514 33.1421 29.5932 33.0765 18.9821 32.3397 28.5032 27.1455 29.8461 18.5325 35.1195 33.4472 34.3508 37 32.3 0 1 1 0 1 1
1576283974 18.6413 27.4225 17.5042 19.4551 19.7561 29.8214 33.1422 29.6338 32.9564 18.982 32.3249 28.492 27.2521 29.8239 18.5324 35.1303 33.6074 34.4016 37.1 32.5 0 1 1 0 1 1
1576283977 18.6413 27.4225 17.5042 19.4588 19.7673 29.9723 33.2843 29.6963 33.0511 18.9819 32.4924 28.4404 27.2225 29.8827 18.5025 35.2213 33.3595 34.4926 37 32.5 0 1 1 0 1 1
1576283980 18.6414 27.5735 17.5043 19.4627 19.7674 30.0091 33.4083 29.7259 33.2152 18.982 32.5217 28.3007 27.2962 29.8681 18.5584 35.3562 33.1338 34.5109 37.1 32.6 0 1 1 1 1 1
1576283983 18.6414 27.544 17.4969 19.4552 19.7785 30.1147 33.503 29.7479 33.1168 18.9819 32.5434 28.4036 27.1453 29.8385 18.6326 35.4034 33.2867 34.3032 37.3 32.6 0 1 1 1 1 1
1576283986 18.6414 27.5514 17.4969 19.4255 19.7562 30.1402 33.4994 29.7921 33.0585 18.9818 32.5834 28.4844 27.3585 29.8531 18.324 35.4434 33.2137 34.3431 37.3 32.8 0 1 1 1 1 1
1576283989 18.6416 27.5957 17.497 19.4442 19.7452 30.2424 33.6197 29.829 33.2663 18.9818 32.6745 28.4954 27.2298 29.8237 18.2609 35.5199 33.3558 34.467 37.3 32.5 0 1 1 1 1 1
1576283992 18.6416 27.6766 17.5008 19.4554 19.7564 30.3225 33.6088 29.8768 33.4922 18.9818 32.6745 28.466 27.2408 29.7942 18.2311 35.5345 33.629 34.5326 37.4 32.6 0 1 1 0 1 1
1576283995 18.6417 27.7135 17.5306 19.4481 19.7491 30.4064 33.6672 29.9174 33.1936 18.9816 32.5687 28.5763 27.2554 29.7904 18.6286 35.6218 33.4212 34.7146 37.4 32.5 0 1 1 0 1 1
1576283998 18.6418 27.6548 17.5345 19.4371 19.7492 30.4904 33.7293 29.9691 33.1646 18.9815 32.6014 28.6387 27.3767 29.783 18.7437 35.731 33.7891 34.7582 37.5 32.7 0 1 1 0 1 1
1576284001 18.6418 27.6254 17.5308 19.4371 19.7604 30.5196 33.9188 30.0095 33.5544 18.9815 32.6269 28.6313 27.3252 29.7388 18.7288 35.7674 33.6178 34.7655 37.4 32.9 0 1 1 1 1 1
1576284004 18.642 27.6918 17.5235 19.4484 19.7494 30.6763 33.908 30.0461 33.3469 18.9814 32.6376 28.6054 27.1999 29.7865 18.5466 35.8437 34.0804 34.6888 37.4 33 0 1 1 1 1 1
1576284007 18.642 27.7102 17.5235 19.4484 19.7568 30.7091 33.8424 30.0825 33.4562 18.9814 32.7834 28.5502 27.2772 29.7423 18.6283 35.8656 33.7416 34.8856 37.5 32.8 0 1 1 1 1 1
1576284010 18.6421 27.7507 17.5124 19.4596 19.742 30.8222 33.7878 30.1045 33.4709 18.9814 32.8089 28.5429 27.3324 29.7754 18.7993 35.8255 33.6978 34.9402 37.6 33 0 1 1 0 1 1
1576284013 18.6421 27.7949 17.4976 19.4745 19.7643 31.0226 33.9627 30.1445 33.5073 18.9814 32.9364 28.6275 27.2514 29.6724 18.4834 35.8765 33.9929 34.9402 37.6 33 0 1 1 0 1 1
1576284016 18.6422 27.8097 17.4903 19.4449 19.783 31.081 34.0029 30.192 33.4236 18.9812 32.9217 28.5832 27.2697 29.7201 18.5316 35.9529 33.8799 34.951 37.6 33.1 0 1 1 0 1 1
1576284019 18.6423 27.7804 17.5015 19.4376 19.7869 31.0811 34.0759 30.2176 33.329 18.9812 32.8743 28.5538 27.4868 29.7385 18.6542 35.9784 34.3827 35.0494 37.7 33 0 1 1 0 1 1
1576284022 18.6423 27.8246 17.5238 19.471 19.7906 31.1758 34.2617 30.2577 33.4638 18.9812 32.878 28.609 27.266 29.7164 18.4127 36.0185 34.9037 34.6559 37.7 32.9 0 1 1 1 1 1
1576284025 18.6425 27.8321 17.5165 19.4674 19.7982 31.3181 34.2582 30.3052 33.6061 18.9811 32.9143 28.5941 27.1776 29.7126 18.2565 36.0475 34.2295 34.7323 37.7 33 0 1 1 1 1 1
1576284028 18.6425 27.7732 17.5128 19.4303 19.7796 31.3326 34.2254 30.3344 33.6389 18.9811 32.9908 28.5868 27.2769 29.6942 18.5277 36.0767 34.5173 34.7979 37.7 33 0 1 1 1 1 1
1576284031 18.6426 27.7844 17.5092 19.4787 19.776 31.4639 34.2729 30.3819 33.9742 18.9811 33.0126 28.7155 27.38 29.7678 18.5166 36.0548 34.3497 35.0675 37.8 33 0 1 1 0 1 1
1576284034 18.6426 27.7365 17.5092 19.4861 19.7834 31.4639 34.3458 30.4001 34.0835 18.9811 33.0673 28.7339 27.4867 29.7384 18.5426 36.1605 34.4408 35.0784 37.9 33 0 1 1 0 1 1
1576284037 18.6427 27.8065 17.5279 19.4788 19.7873 31.3766 34.2694 30.433 34.0399 18.981 33.1145 28.789 27.5049 29.6868 18.5945 36.2369 34.1274 35.3515 37.8 33.1 0 1 1 0 1 1
1576284040 18.6427 27.7955 17.5242 19.5011 19.7761 31.4822 34.3568 30.4949 34.0034 18.981 33.2056 28.8148 27.3063 29.6831 18.5797 36.3498 33.927 35.1657 37.8 33.1 0 1 1 1 1 1
1576284043 18.6429 27.7993 17.5281 19.4938 19.7837 31.588 34.4189 30.5169 33.7012 18.981 33.2129 28.8148 27.4755 29.6537 18.6837 36.4227 34.2367 35.2787 37.8 33.1 0 1 1 1 1 1
1576284046 18.643 27.7516 17.5245 19.4791 19.7429 31.6756 34.4591 30.5352 34.2077 18.9809 33.2383 28.7815 27.4717 29.6499 18.8285 36.5282 34.2985 35.2859 37.9 33.1 0 1 1 1 1 1
1576284049 18.643 27.7847 17.5282 19.4642 19.7392 31.7266 34.5793 30.5753 34.2259 18.9807 33.1981 28.7336 27.306 29.6203 18.6686 36.6847 34.3894 35.2347 37.9 33.2 0 1 1 1 1 1
1576284052 18.643 27.8179 17.5208 19.4754 19.7541 31.763 34.572 30.6081 34.2624 18.9807 33.2127 28.7041 27.3906 29.5908 18.7392 36.6702 34.3931 35.2456 37.9 33.4 0 1 1 0 1 1
1576284055 18.6431 27.7554 17.5098 19.4941 19.7505 31.8288 34.6195 30.6374 34.1605 18.9807 33.1325 28.6416 27.4201 29.6056 18.6909 36.692 34.4805 35.344 37.8 33.5 0 1 1 0 1 1
1576284058 18.6431 27.8069 17.5135 19.5238 19.7579 31.8033 34.6815 30.6811 34.4374 18.9806 33.1688 28.7666 27.4898 29.6018 18.4232 36.7466 34.4768 35.7046 37.8 33.6 0 1 1 0 1 1
1576284061 18.6433 27.8402 17.4987 19.5239 19.7692 31.8362 34.7545 30.6885 34.208 18.9806 33.147 28.7187 27.5414 29.6238 18.4789 36.8194 34.2509 35.5953 37.9 33.7 0 1 1 1 1 1
1576284064 18.6433 27.8843 17.4987 19.5202 19.7729 31.9564 34.8565 30.714 34.2881 18.9806 33.1215 28.7923 27.637 29.5687 18.1817 36.783 34.4039 35.6536 37.9 33.8 0 1 1 1 1 1
1576284067 18.6434 27.8513 17.51 19.5241 19.7693 32.0512 34.8311 30.7433 34.3101 18.9805 33.0266 28.8143 27.67 29.5906 18.3971 36.7355 34.3418 35.3583 38 33.7 0 1 1 1 1 1
1576284070 18.6435 27.822 17.5176 19.4982 19.762 32.0222 34.8203 30.7726 33.9459 18.9803 32.9646 28.8914 27.8207 29.6089 18.5753 36.6953 34.3235 35.595 38 33.5 0 1 1 0 1 1
1576284073 18.6435 27.914 17.5138 19.4945 19.7657 32.0842 34.8859 30.7981 34.7146 18.9803 33.0666 28.8767 27.6883 29.6052 18.5642 36.7026 34.2616 35.7408 38.2 33.5 0 1 1 0 1 1
1576284076 18.6435 27.8956 17.5064 19.4685 19.762 32.1534 34.9915 30.8163 34.5908 18.9801 32.906 28.8875 27.5887 29.6233 18.5862 36.8554 34.0791 35.8352 38.1 33.6 0 1 1 0 1 1
1576284079 18.6435 27.8625 17.5027 19.4982 19.7695 32.2226 34.9332 30.8673 34.1754 18.9801 33.2339 28.8801 27.5703 29.6086 18.445 36.8626 34.265 35.9008 38.1 33.7 0 1 1 0 1 1
1576284082 18.6435 27.903 17.5101 19.5131 19.7843 32.27 34.9952 30.8892 33.884 18.9799 33.2629 28.8837 27.515 29.5864 18.4374 36.939 34.2758 35.7039 37.9 33.8 0 1 1 1 1 1
1576284085 18.6435 27.9913 17.4953 19.5093 19.788 32.2918 34.9915 30.9256 33.8366 18.9798 33.3539 28.8504 27.5553 29.5458 18.3258 36.8405 34.9169 35.733 38.1 33.7 0 1 1 1 1 1
1576284088 18.6435 27.9582 17.4916 19.5279 19.7843 32.2736 34.9624 30.9547 33.72 18.9797 33.5323 28.9938 27.5258 29.5862 18.3368 36.8331 34.7309 35.496 38.2 33.8 0 1 1 1 1 1
1576284091 18.6436 27.9914 17.4805 19.5355 19.7993 32.3065 34.9334 30.984 33.8659 18.9796 33.5467 28.9973 27.4741 29.5787 18.463 36.8876 34.5341 35.6088 38.3 33.7 0 1 1 0 1 1
1576284094 18.6436 27.9841 17.4954 19.5392 19.7956 32.4122 35.1775 31.0387 34.5107 18.9793 33.3825 28.9345 27.6799 29.6263 18.4628 37.0258 34.3808 35.9073 38.3 33.8 0 1 1 0 1 1
1576284097 18.6436 27.9693 17.4805 19.5206 19.8068 32.5106 35.2758 31.0642 34.4597 18.9793 33.3279 29.0118 27.5806 29.6447 18.5706 37.1096 34.2788 36.0239 38.4 33.9 0 1 1 0 1 1
1576284100 18.6438 27.9695 17.4807 19.5393 19.8106 32.558 35.3051 31.0898 34.0154 18.979 33.2584 29.0079 27.5546 29.637 18.6632 37.1203 34.1146 35.5756 37.9 33.7 0 1 1 1 1 1
1576284103 18.6438 28.0063 17.4621 19.5282 19.766 32.7329 35.3087 31.1116 34.3761 18.9788 33.4877 28.9818 27.6683 29.5889 18.6443 37.1929 34.8248 35.8121 37.8 33.7 0 1 1 1 1 1
1576284106 18.6438 27.9731 17.4695 19.5356 19.7883 32.8276 35.2942 31.1481 34.5509 18.9787 33.4074 29.0185 27.5174 29.5446 18.3729 37.2474 34.872 35.75 38 33.8 0 1 1 1 1 1
1576284109 18.6438 28.0063 17.4732 19.5133 19.7994 32.8787 35.265 31.1663 34.591 18.9785 33.5421 29.1693 27.5798 29.5519 18.488 37.2728 34.8974 35.7645 38 33.8 0 1 1 1 1 1
1576284112 18.6439 28.0505 17.4622 19.5431 19.7958 32.9516 35.3198 31.2174 34.2814 18.9783 33.7058 29.0954 27.7083 29.5553 18.2871 37.3381 34.6967 35.7132 38 33.7 0 1 1 0 1 1
1576284115 18.644 28.0286 17.4549 19.547 19.8108 33.0538 35.2981 31.2467 34.2706 18.9781 34.0299 29.1615 27.6567 29.6288 18.3761 37.3489 34.9334 36.0191 37.9 33.7 0 1 1 0 1 1
1576284118 18.644 28.058 17.4512 19.5321 19.8108 33.1303 35.3819 31.2831 34.2743 18.978 33.9897 29.0252 27.6749 29.647 18.3426 37.3707 35.141 36.132 38.1 33.8 0 1 1 0 1 1
1576284121 18.644 27.9918 17.4512 19.5284 19.8108 33.1704 35.5094 31.3159 34.2561 18.9778 33.9384 29.0728 27.6342 29.599 17.9113 37.385 34.9622 36.343 38.1 33.8 0 1 1 1 1 1
1576284124 18.644 28.0948 17.4512 19.5321 19.8294 33.2068 35.5604 31.3414 34.0375 18.9776 33.982 29.0984 27.796 29.6614 18.0969 37.3812 34.9183 36.2955 37.7 33.8 0 1 1 1 1 1
1576284127 18.6442 28.1722 17.4476 19.5546 19.8184 33.2033 35.637 31.3671 34.0558 18.9774 34.0364 29.0835 27.6927 29.628 18.0038 37.4356 34.623 36.0585 37.8 33.8 0 1 1 1 1 1
1576284130 18.6443 28.1319 17.4514 19.5547 19.8445 33.1998 35.7246 31.4036 34.045 18.9771 34.2038 29.109 27.6373 29.6241 18.3082 37.5083 35.0381 36.2659 37.3 33.9 0 1 1 0 1 1
1576284133 18.6443 28.2275 17.4514 19.5621 19.852 33.2726 35.6299 31.4328 33.8264 18.9769 34.0068 29.0315 27.545 29.6018 18.4269 37.5736 34.7099 36.109 37.5 34 0 1 1 0 1 1
1576284136 18.6443 28.2386 17.4589 19.5473 19.8371 33.2581 35.6663 31.4473 34.158 18.9769 33.8975 29.0535 27.6481 29.6275 18.5198 37.6392 34.3784 36.211 37.4 34 0 1 1 0 1 1
1576284139 18.6443 28.1907 17.4589 19.551 19.8074 33.269 35.6954 31.4911 34.2673 18.9765 34.174 29.1672 27.6881 29.6308 18.1478 37.708 34.0282 35.9738 37.6 34 0 1 1 0 1 1
1576284142 18.6444 28.1467 17.4516 19.5362 19.8186 33.342 35.7356 31.513 34.1508 18.9763 34.2285 29.1928 27.596 29.6748 18.0399 37.6896 34.3597 36.0793 37.7 34.1 0 1 1 1 1 1
1576284145 18.6444 28.0915 17.4516 19.5622 19.8298 33.3966 35.7429 31.5422 34.3366 18.9761 34.2537 29.2772 27.6693 29.6635 18.1883 37.744 34.8767 36.3814 38 34.1 0 1 1 1 1 1
1576284148 18.6444 28.1761 17.4553 19.5511 19.8261 33.4148 35.681 31.5932 34.4459 18.9758 34.2936 29.2328 27.8163 29.586 18.1434 37.7438 35.2117 36.4905 38.1 34.1 0 1 1 1 1 1
1576284151 18.6444 28.1982 17.4627 19.5511 19.8186 33.4258 35.7502 31.615 34.6244 18.9756 34.1221 29.2657 27.7755 29.5563 18.2695 37.7326 34.8508 36.1879 38.4 34.3 0 1 1 0 1 1
1576284154 18.6445 28.2241 17.4554 19.5364 19.8002 33.4186 35.6957 31.6334 34.4533 18.9754 34.2021 29.2434 27.8159 29.5966 18.3288 37.6705 34.9854 36.3735 38.1 34.4 0 1 1 0 1 1
1576284157 18.6445 28.2167 17.4814 19.5549 19.8151 33.6445 35.7139 31.688 34.2129 18.9752 33.8849 29.3278 27.9444 29.578 18.206 37.5865 35.1345 36.2895 37.8 34.2 0 1 1 0 1 1
1576284160 18.6447 28.2095 17.463 19.5328 19.8003 33.6264 35.7286 31.7027 34.3478 18.9749 33.9684 29.2871 27.9662 29.563 18.1537 37.7247 34.708 36.4277 38 34.1 0 1 1 1 1 1
1576284163 18.6447 28.1837 17.463 19.5328 19.8003 33.6337 35.7249 31.7282 34.3478 18.9745 34.1357 29.364 28.0762 29.559 18.2239 37.7207 34.5874 36.1322 38.3 34.3 0 1 1 1 1 1
1576284166 18.6448 28.2097 17.4631 19.5255 19.8116 33.7431 35.9619 31.7721 34.1876 18.9743 34.048 29.3527 27.8883 29.5955 18.049 37.7605 34.456 36.285 38.6 34.2 0 1 1 1 1 1
1576284169 18.6448 28.2796 17.4817 19.5329 19.8191 33.8561 36.104 31.8122 34.0528 18.9742 34.0588 29.371 27.8551 29.6175 17.9523 37.8041 34.6162 36.733 38.5 34.2 0 1 1 1 1 1
1576284172 18.6448 28.3237 17.4891 19.5292 19.8079 33.9217 36.1696 31.834 34.1293 18.9739 34.2079 29.3891 27.8401 29.5804 18.0189 37.8403 34.6342 36.6489 38.3 34.3 0 1 1 0 1 1
1576284175 18.6449 28.2834 17.5301 19.5331 19.8155 33.8927 36.1843 31.8743 33.991 18.9735 34.3168 29.3666 28.1819 29.5432 17.8327 38.0621 34.4516 36.776 38.2 34.4 0 1 1 0 1 1
1576284178 18.6451 28.2173 17.5303 19.5332 19.8156 33.911 36.2281 31.8889 34.0421 18.9733 34.4222 29.4032 27.9977 29.5356 17.9439 38.1165 34.7392 36.9142 38.6 34.3 0 1 1 0 1 1
1576284181 18.6451 28.2393 17.5191 19.5258 19.8156 33.8745 36.2099 31.9144 33.9401 18.973 34.3564 29.3808 27.7583 29.5722 18.0328 38.16 34.9029 36.903 39 34.5 0 1 1 1 1 1
1576284184 18.6452 28.3314 17.4969 19.5407 19.8083 33.9657 36.1627 31.9401 34.0823 18.9726 34.0572 29.4246 27.7689 29.546 17.8504 38.2106 35.1721 36.899 38.6 34.4 0 1 1 1 1 1
1576284187 18.6451 28.2982 17.5005 19.5443 19.8082 34.0349 36.1662 31.9691 34.0349 18.9725 34.1446 29.3803 27.8093 29.5496 17.9357 38.338 35.1246 36.6001 38.9 34.5 0 1 1 1 1 1
1576284190 18.6452 28.313 17.5006 19.5221 19.8083 34.0058 36.1517 31.9947 34.5195 18.9721 34.1515 29.38 27.7427 29.5529 17.9688 38.4251 34.8291 36.6508 39.1 34.4 0 1 1 0 1 1
1576284193 18.6452 28.3057 17.5006 19.4961 19.812 34.0459 36.2501 32.0093 35.1753 18.9717 34.2276 29.4789 27.7864 29.5525 18.1839 38.432 35.4809 36.7925 39.6 34.5 0 1 1 0 1 1
1576284196 18.6453 28.3389 17.5157 19.4963 19.8121 34.1262 36.2721 32.0386 34.9496 18.9715 34.5443 29.4566 27.7053 29.5339 17.8641 38.4536 35.4588 36.9161 39.6 34.5 0 1 1 0 1 1
1576284199 18.6453 28.35 17.5157 19.4926 19.8084 34.1881 36.1191 32.0677 34.5561 18.9713 34.6207 29.4785 27.8559 29.5043 17.8268 38.3988 34.9777 36.9415 39.2 34.4 0 1 1 0 1 1
1576284202 18.6456 28.3539 17.5159 19.4891 19.8087 34.2066 36.0938 32.0935 34.1702 18.9708 34.8169 29.5222 27.7598 29.5332 17.8932 38.4202 35.0975 36.981 39.1 34.2 0 1 1 1 1 1
1576284205 18.6456 28.2987 17.5085 19.4817 19.8013 34.2357 36.061 32.1263 34.2321 18.9707 34.8933 29.4963 27.7891 29.4963 18.168 38.2779 34.6419 37.0611 38.8 34.3 0 1 1 1 1 1
1576284208 18.6456 28.3245 17.5159 19.4891 19.8087 34.2685 36.1339 32.1408 34.1228 18.9704 34.8894 29.485 27.8072 29.5255 18.0823 38.2631 34.5142 37.1264 39 34.6 0 1 1 1 1 1
1576284211 18.6457 28.3982 17.516 19.5004 19.8051 34.2941 36.3308 32.1737 34.2104 18.97 34.9182 29.4846 27.9356 29.5766 18.264 38.219 34.634 37.2098 39 34.7 0 1 1 0 1 1
1576284214 18.6457 28.3724 17.5197 19.4855 19.8088 34.3488 36.2215 32.1992 34.3269 18.9697 35.1036 29.521 27.8948 29.6314 18.1373 38.226 34.8122 37.2277 38.9 34.6 0 1 1 0 1 1
1576284217 18.6457 28.3724 17.5197 19.4558 19.8014 34.4253 36.1486 32.2138 34.99 18.9695 35.2565 29.5172 28.0896 29.6276 18.3935 38.1857 34.8157 37.4753 38.7 34.7 0 1 1 0 1 1
1576284220 18.6458 28.3284 17.5124 19.4745 19.8052 34.4728 36.3127 32.2577 34.593 18.969 35.307 29.5976 27.8721 29.6013 18.2147 38.2763 34.8662 37.176 38.1 34.4 0 1 1 1 1 1
1576284223 18.6458 28.2622 17.5162 19.4745 19.8089 34.4619 36.3418 32.2795 34.5493 18.9687 35.3906 29.5165 28.1183 29.5937 18.3185 38.3817 35.3104 37.1758 38.1 34.5 0 1 1 1 1 1
1576284226 18.646 28.3138 17.5126 19.4858 19.8091 34.4875 36.1489 32.2979 34.2106 18.9685 35.3867 29.5199 28.1034 29.5972 18.2142 38.5236 35.0588 37.365 38.2 34.5 0 1 1 1 1 1
1576284229 18.646 28.3359 17.52 19.5081 19.8091 34.513 36.1707 32.3234 33.9592 18.9681 35.5284 29.5232 27.9999 29.6152 18.5185 38.6252 34.9527 37.2954 38.6 34.5 0 1 1 0 1 1
1576284232 18.6461 28.3691 17.5016 19.5416 19.8092 34.5314 36.2182 32.3454 33.9739 18.9678 35.5135 29.5891 28.2609 29.6002 18.6668 38.6213 34.9415 37.1785 38.5 34.5 0 1 1 0 1 1
1576284235 18.6461 28.4022 17.4941 19.5342 19.8018 34.5969 36.1417 32.3636 34.0432 18.9676 35.5133 29.53 28.0509 29.5778 18.3842 38.7522 34.5842 37.3714 38.6 34.5 0 1 1 0 1 1
1576284238 18.6461 28.4611 17.5016 19.5379 19.7943 34.6953 36.1854 32.3891 33.7881 18.9671 35.6039 29.5369 28.1461 29.6362 18.4208 38.7954 34.6129 37.4 38.8 34.7 0 1 1 0 1 1
1576284241 18.6462 28.4759 17.5165 19.538 19.8019 34.6881 36.2511 32.4147 33.9485 18.9668 35.6218 29.555 28.1127 29.6396 18.2757 38.7515 35.1628 37.6621 38.8 34.5 0 1 1 1 1 1
1576284244 18.6462 28.5422 17.5091 19.5157 19.7982 34.6626 36.2657 32.422 34.0906 18.9666 35.7309 29.6173 28.3958 29.6136 18.4426 38.6565 35.1188 37.6728 39 34.5 0 1 1 1 1 1
1576284247 18.6463 28.4687 17.5092 19.5122 19.7946 34.783 36.3314 32.4695 34.1563 18.9662 35.8471 29.6022 28.0348 29.5949 18.3531 38.8929 35.6249 37.483 38.5 34.4 0 1 1 1 1 1
1576284250 18.6463 28.4908 17.5055 19.5122 19.7946 34.8376 36.2695 32.5096 34.2766 18.9659 35.8651 29.5725 28.0566 29.6277 18.4754 39.0675 35.1619 37.5082 39 34.6 0 1 1 0 1 1
1576284253 18.6465 28.4652 17.4982 19.4974 19.7984 34.8924 36.4991 32.517 34.3131 18.9655 35.974 29.6494 28.071 29.5905 18.2 39.1436 34.8955 37.4313 38.9 34.8 0 1 1 0 1 1
1576284256 18.6465 28.5056 17.5094 19.5086 19.8059 34.9325 36.6521 32.5571 34.3168 18.9651 36.0501 29.6233 28.1883 29.5938 18.2145 39.0704 35.3287 37.4892 38.7 34.9 0 1 1 0 1 1
1576284259 18.6466 28.5426 17.5095 19.505 19.8023 34.9253 36.6049 32.5718 34.1129 18.9648 36.0752 29.564 28.2615 29.6928 18.0655 39.0737 35.6817 37.5763 38.5 34.8 0 1 1 0 1 1
1576284262 18.6466 28.5021 17.5058 19.5013 19.7986 34.8707 36.6778 32.6009 34.2295 18.9644 36.0348 29.5894 28.2428 29.6593 18.2583 38.9968 35.3316 37.4557 38.7 34.8 0 1 1 1 1 1
1576284265 18.6467 28.4985 17.5022 19.5125 19.8061 34.8927 36.5759 32.6119 34.6741 18.964 36.0781 29.4602 28.3307 29.681 18.3694 38.9236 35.3313 37.6994 39.1 34.7 0 1 1 1 1 1
1576284268 18.6467 28.5096 17.4948 19.4902 19.7987 34.8234 36.718 32.6374 34.5174 18.9637 35.9685 29.4636 28.1096 29.7543 18.3283 38.967 35.8009 37.6955 39.3 34.8 0 1 1 1 1 1
1576284271 18.6467 28.5464 17.4799 19.5014 19.8061 34.8817 36.6925 32.652 34.6996 18.9633 35.9245 29.57 28.0982 29.7208 18.3651 38.9521 35.8662 37.6332 39.2 35 0 1 1 0 1 1
1576284274 18.6467 28.4838 17.5022 19.52 19.8098 34.9218 36.8892 32.6957 34.8744 18.963 35.9022 29.7094 28.1567 29.6799 18.3126 39.0391 35.3594 37.8477 39.3 34.7 0 1 1 0 1 1
1576284277 18.6469 28.5134 17.4986 19.5238 19.81 34.911 36.944 32.7104 34.7726 18.9626 35.7816 29.7274 28.1821 29.6538 18.119 39.1808 35.4683 37.8911 39.3 35.1 0 1 1 0 1 1
1576284280 18.6469 28.587 17.4912 19.5275 19.8248 35.0021 36.944 32.7323 34.7653 18.9622 35.9015 29.6351 28.557 29.6571 18.3379 39.257 36.0618 37.7377 38.9 34.9 0 1 1 1 1 1
1576284283 18.6469 28.5502 17.48 19.587 19.8323 34.9948 36.8675 32.7542 34.6778 18.9618 36.0359 29.6604 28.3064 29.6604 18.2335 39.2019 35.7226 37.8612 38.9 34.7 0 1 1 1 1 1
1576284286 18.6469 28.5759 17.4763 19.5907 19.8285 35.0458 37.0168 32.7687 34.5066 18.9615 36.1012 29.5939 28.251 29.6565 18.1738 39.2454 35.7223 37.6023 39 34.5 0 1 1 1 1 1
1576284289 18.647 28.5392 17.4839 19.5722 19.8212 35.035 37.0315 32.7907 34.3464 18.9612 36.1482 29.6046 28.2065 29.612 18.4521 39.2341 35.7147 37.5618 39.1 34.7 0 1 1 0 1 1
1576284292 18.647 28.5613 17.4764 19.5574 19.8101 35.0058 37.0206 32.8162 34.1825 18.9606 36.2716 29.6445 28.0661 29.6556 18.1877 39.2809 35.7979 37.7544 39 34.9 0 1 1 0 1 1
1576284295 18.647 28.5172 17.4839 19.55 19.8064 35.0095 37.1445 32.8381 34.5395 18.9604 36.1948 29.5008 28.1174 29.6553 18.2581 39.3098 36.0928 37.7542 39.4 35.1 0 1 1 0 1 1
1576284298 18.647 28.5245 17.4839 19.5574 19.8138 35.035 37.0097 32.8599 34.5686 18.9601 36.0889 29.736 28.0583 29.6477 18.5476 39.3788 35.6408 37.7685 39.6 35.1 0 1 1 0 1 1
1576284301 18.6471 28.5357 17.4877 19.5538 19.8177 35.1226 36.8459 32.8747 34.802 18.9597 36.0849 29.7172 28.1977 29.7209 18.328 39.5023 35.4874 37.83 39.7 35.2 0 1 1 1 1 1
1576284304 18.647 28.5025 17.4913 19.5685 19.8175 35.1115 36.8749 32.8891 34.6925 18.9592 36.2301 29.691 28.2156 29.7646 18.1083 39.5747 35.4905 37.6219 40 34.9 0 1 1 1 1 1
1576284307 18.647 28.4951 17.495 19.5611 19.8212 35.1443 36.9332 32.9146 34.9694 18.9591 36.281 29.7461 28.3148 29.7203 18.123 39.509 35.7892 37.7602 39.5 35.2 0 1 1 1 1 1
1576284310 18.647 28.6276 17.5024 19.5388 19.8175 35.1661 36.9477 32.9474 34.8455 18.9587 36.2223 29.7383 28.3659 29.7273 18.6429 39.5487 35.8726 37.9566 39.7 35.1 0 1 1 0 1 1
1576284313 18.6471 28.5725 17.4989 19.5315 19.8214 35.2683 36.8823 32.9694 35.0388 18.9583 36.1126 29.7195 28.3913 29.6901 18.4158 39.6649 35.6281 37.9197 39.8 35.1 0 1 1 0 1 1
1576284316 18.647 28.5724 17.4801 19.5128 19.8026 35.2354 36.9987 32.9874 34.9913 18.9581 36.2545 29.8407 28.2218 29.7045 18.5865 39.6209 35.4894 37.9668 40 35.1 0 1 1 0 1 1
1576284319 18.6471 28.6572 17.4915 19.5278 19.8102 35.2938 37.0645 33.0313 35.0424 18.9577 36.2505 29.8219 28.3207 29.7189 18.4932 39.6679 35.5582 37.9373 39.6 35.3 0 1 1 0 1 1
1576284322 18.647 28.6055 17.495 19.5277 19.8249 35.3119 37.017 33.064 35.2827 18.9573 36.1626 29.8657 28.4859 29.7074 18.5708 39.5837 35.2263 38.3085 39.7 35.1 0 1 1 1 1 1
1576284325 18.647 28.668 17.495 19.5239 19.8175 35.4358 36.9222 33.0858 34.9621 18.9569 36.0129 29.9646 28.4009 29.6703 18.2211 39.6271 34.8215 38.4065 39.7 35.2 0 1 1 1 1 1
1576284328 18.647 28.5981 17.5024 19.5202 19.8175 35.392 36.8239 33.115 34.9002 18.9565 36.202 29.979 28.5588 29.6993 18.2616 39.6631 35.3057 38.1912 39.4 35.1 0 1 1 0 1 1
1576284331 18.647 28.5908 17.5024 19.5091 19.8175 35.3993 36.6198 33.1332 34.8638 18.9563 36.2491 29.9787 28.6505 29.6807 18.6664 39.4953 35.1634 37.8813 39.4 34.7 0 1 1 0 1 1
1576284334 18.6471 28.613 17.5138 19.487 19.8102 35.3485 36.6091 33.1479 34.6708 18.956 36.3035 30.0807 28.6429 29.7172 18.4098 39.608 35.7278 37.5641 39.1 35 0 1 1 0 1 1
1576284337 18.6471 28.6056 17.4989 19.4832 19.8102 35.3266 36.7767 33.1661 36.0116 18.9556 36.3286 30.0257 28.3408 29.6837 18.2719 39.6768 36.0845 37.611 39.5 35.2 0 1 1 0 1 1
1576284340 18.6471 28.6388 17.4915 19.487 19.8177 35.3193 36.926 33.188 35.8003 18.9554 36.2846 29.8969 28.3552 29.7166 18.3719 39.7202 35.7891 37.9022 39.6 35.1 0 1 1 1 1 1
1576284343 18.647 28.6864 17.4913 19.4868 19.8101 35.3374 36.9842 33.206 35.5778 18.9551 36.4009 29.9665 28.4985 29.7458 18.4572 39.6581 35.2569 38.0295 39.4 35.4 0 1 1 1 1 1
1576284346 18.647 28.6533 17.4839 19.4979 19.8026 35.4066 37.0825 33.217 35.2682 18.9548 36.255 30.0577 28.4062 29.7455 18.1968 39.6287 35.6028 37.8835 39.8 35.1 0 1 1 1 1 1
1576284349 18.647 28.668 17.495 19.5016 19.8064 35.3884 37.1153 33.2461 35.0313 18.9545 36.2692 29.9769 28.1778 29.712 18.3785 39.7085 35.73 37.9269 39.6 34.7 0 1 1 0 1 1
1576284352 18.6469 28.6458 17.5135 19.483 19.7988 35.3992 37.1225 33.2679 35.1733 18.9542 36.1632 30.0643 28.2216 29.6934 18.3931 39.7301 35.9228 38.1999 39.5 34.6 0 1 1 0 1 1
1576284355 18.647 28.6128 17.4913 19.4942 19.7878 35.4613 37.1955 33.3008 35.6361 18.9538 36.254 30.1077 28.4678 29.7556 18.4113 39.7771 35.3286 37.9773 39.8 34.8 0 1 1 0 1 1
1576284358 18.6469 28.6458 17.506 19.4904 19.8025 35.4101 37.0642 33.3225 35.5668 18.9537 36.3413 30.0529 28.2469 29.7296 18.5784 39.7368 35.3284 37.8423 40 35 0 1 1 0 1 1
1576284361 18.6469 28.6789 17.4949 19.4978 19.7877 35.483 37.0023 33.3334 35.7052 18.9534 36.4175 30.0527 28.3349 29.7184 18.5967 39.7694 35.5577 37.9732 39.7 35 0 1 1 1 1 1
1576284364 18.6469 28.6937 17.5023 19.4904 19.7877 35.5012 36.8237 33.3626 35.4466 18.9532 36.4173 30.158 28.2059 29.7659 18.7488 39.7582 35.7323 38.2826 39.9 35.2 0 1 1 1 1 1
1576284367 18.6469 28.7636 17.4986 19.5015 19.7988 35.4539 36.8493 33.3881 35.9712 18.9529 36.5336 30.1542 28.3675 29.7289 18.7374 39.8782 35.6519 38.2715 39.8 35.2 0 1 1 1 1 1
1576284370 18.6469 28.7047 17.4875 19.4978 19.7914 35.4247 36.9804 33.399 35.6688 18.9525 36.5623 30.0882 28.334 29.7358 18.3617 39.9798 35.5896 38.2966 39.9 35.4 0 1 1 0 1 1
1576284373 18.6467 28.815 17.5059 19.5088 19.7987 35.4683 37.0422 33.4208 35.461 18.9523 36.5002 30.1317 28.4258 29.7798 18.3243 39.9868 35.7606 38.2818 39.7 35.4 0 1 1 0 1 1
1576284376 18.6467 28.9033 17.5022 19.4865 19.7838 35.4464 36.9693 33.4244 36.1933 18.952 36.4999 30.0986 28.4513 29.7906 18.3835 39.8809 35.4944 38.4236 39.9 35.2 0 1 1 0 1 1
1576284379 18.6467 28.8701 17.5022 19.4791 19.7801 35.5521 37.1151 33.4353 36.4666 18.9519 36.3723 30.1058 28.4769 29.8088 18.5803 39.9974 35.6473 38.3688 39.8 35.4 0 1 1 0 1 1
1576284382 18.6467 28.8186 17.4985 19.4791 19.7801 35.5776 37.3446 33.4608 35.8691 18.9515 36.412 30.1346 28.4949 29.7864 18.5019 40.0369 35.5668 38.2701 39.9 35.1 0 1 1 1 1 1
1576284385 18.6466 28.7928 17.5021 19.4864 19.7726 35.5775 37.2971 33.4826 35.6467 18.9512 36.3425 30.0432 28.3769 29.7824 18.5165 40.1781 35.6904 38.3099 39.6 35.1 0 1 1 1 1 1
1576284388 18.6465 28.8405 17.5094 19.4974 19.7873 35.5883 37.3189 33.4934 35.4571 18.951 36.4151 30.0831 28.3988 29.7638 18.349 40.1959 35.5735 38.1676 39.5 35.3 0 1 1 0 1 1
1576284391 18.6463 28.8219 17.5092 19.4899 19.776 35.6501 37.2094 33.5078 35.4971 18.9507 36.5059 30.1556 28.4757 29.7488 18.4007 40.0978 35.402 38.2219 39.6 35.3 0 1 1 0 1 1
1576284394 18.6463 28.7667 17.4981 19.4787 19.7611 35.6683 37.1511 33.5188 35.723 18.9505 36.5349 30.2319 28.6742 29.7669 18.2853 40.1519 35.6022 38.3347 39.8 35.3 0 1 1 0 1 1
1576284397 18.6463 28.7667 17.5018 19.4899 19.7723 35.6792 37.2021 33.5515 36.2039 18.9502 36.4909 30.2863 28.604 29.7519 18.3519 40.2024 35.5472 38.4 40 35.2 0 1 1 0 1 1
1576284400 18.6461 28.7297 17.5164 19.4822 19.772 35.7409 36.9432 33.5732 35.7519 18.95 36.4433 30.2132 28.5044 29.7701 18.3368 40.2058 35.0369 38.3633 39.8 35.3 0 1 1 1 1 1
1576284403 18.6461 28.8732 17.5127 19.4971 19.7795 35.6499 37.0125 33.6132 35.5478 18.9497 36.2536 30.1765 28.4637 29.7625 18.4369 40.2273 35.3209 38.476 39.9 35.4 0 1 1 1 1 1
1576284406 18.6461 28.8806 17.5127 19.4896 19.7795 35.5733 37.0598 33.6278 35.4058 18.9496 36.4283 30.2055 28.4672 29.8065 18.5259 40.2417 35.328 38.4321 39.8 35.4 0 1 1 1 1 1
1576284409 18.646 28.9099 17.5089 19.4895 19.7793 35.6497 36.9686 33.6532 35.2307 18.9492 36.5263 30.0959 28.4301 29.7436 18.6073 40.2051 35.4442 38.2387 39.9 35.1 0 1 1 0 1 1
1576284412 18.6458 28.8766 17.5087 19.4856 19.7755 35.6496 37.1106 33.6749 35.1577 18.9489 36.424 30.1502 28.3452 29.7875 18.5327 40.1867 35.4039 38.5372 40 35 0 1 1 0 1 1
1576284415 18.6457 29.0236 17.5197 19.5078 19.7791 35.6385 37.2817 33.7003 35.2997 18.9488 36.3328 30.1465 28.5806 29.8426 18.8819 40.2591 35.7536 38.4788 40 35.4 0 1 1 0 1 1
1576284418 18.6456 28.9095 17.5159 19.4891 19.779 35.7477 37.3362 33.7147 35.2377 18.9483 36.489 30.197 28.6279 29.8052 18.7439 40.2984 35.5454 38.6058 39.9 35.3 0 1 1 0 1 1
1576284421 18.6456 28.8764 17.5122 19.4854 19.7678 35.7222 37.3544 33.7257 35.5291 18.9481 36.4561 30.2114 28.521 29.7941 18.4837 40.2693 35.1918 38.7222 40 35.2 0 1 1 1 1 1
1576284424 18.6454 28.8505 17.4935 19.4927 19.7677 35.733 37.4235 33.7438 35.3541 18.9479 36.4084 30.1237 28.6348 29.7644 18.6543 40.2763 35.3191 38.5689 39.9 35.4 0 1 1 1 1 1
1576284427 18.6452 28.8539 17.4969 19.4924 19.7674 35.7145 37.4415 33.7617 35.5615 18.9475 36.4992 30.0505 28.6308 29.8008 18.3418 40.3267 35.5628 38.3645 40.1 35.2 0 1 1 1 1 1
1576284430 18.6452 28.9091 17.5081 19.5036 19.76 35.7874 37.4888 33.7836 35.281 18.9472 36.6774 29.9514 28.6048 29.7895 18.401 40.3155 35.3221 38.3898 40.2 35.3 0 1 1 0 1 1
1576284433 18.6451 28.8906 17.5117 19.4923 19.7636 35.8274 37.4049 33.798 35.5286 18.947 36.7792 30.0937 28.7186 29.8334 18.4305 40.3371 35.6243 38.426 40.3 35.4 0 1 1 0 1 1
1576284436 18.6449 28.8905 17.5004 19.4959 19.7783 35.7762 37.525 33.8234 35.2006 18.9466 36.7752 30.1552 28.4496 29.8514 18.4486 40.3258 35.5437 38.3746 40.2 35.3 0 1 1 0 1 1
1576284439 18.6448 28.905 17.5077 19.4958 19.7633 35.8745 37.463 33.8488 35.2551 18.9463 36.866 30.1878 28.4898 29.807 18.4595 40.4053 35.4815 38.4144 40.3 35 0 1 1 0 1 1
1576284442 18.6448 28.8682 17.4891 19.4698 19.7596 35.8818 37.4557 33.8561 35.1968 18.9461 36.8985 30.2495 28.6294 29.8104 18.515 40.4087 35.5177 38.5963 40.3 35.1 0 1 1 1 1 1
1576284445 18.6447 28.9233 17.4964 19.477 19.7594 35.7832 37.4337 33.8887 35.9581 18.9457 36.9747 30.2127 28.6952 29.7733 18.288 40.3757 34.9708 38.4794 40.5 35 0 1 1 1 1 1
1576284448 18.6445 28.9416 17.4963 19.4992 19.7668 35.8924 37.4809 33.8959 36.1147 18.9454 36.927 30.1905 28.6103 29.7767 18.4178 40.4914 34.6354 38.7487 40.3 35 0 1 1 1 1 1
1576284451 18.6445 28.9195 17.5037 19.5066 19.7816 35.907 37.5173 33.9141 35.9726 18.9452 36.9013 30.1611 28.496 29.8059 18.4695 40.5202 34.923 38.825 40.1 34.9 0 1 1 0 1 1
1576284454 18.6444 28.9561 17.5036 19.5139 19.7852 35.9141 37.6666 33.9395 36.1145 18.9448 36.879 30.2336 28.4662 29.7613 18.3093 40.5452 35.3015 38.7043 40.2 35.1 0 1 1 0 1 1
1576284457 18.6443 29.0296 17.4923 19.4841 19.7628 35.8776 37.7029 33.9394 35.8375 18.9445 36.9808 30.288 28.5432 29.7464 18.2274 40.5232 35.294 38.7515 40.2 35.3 0 1 1 0 1 1
1576284460 18.6442 29.0737 17.4959 19.4914 19.7627 35.8228 37.7064 33.9502 35.6662 18.9443 36.8822 30.3716 28.5503 29.8344 18.5838 40.4504 35.7054 38.6711 39.8 35 0 1 1 1 1 1
1576284463 18.6442 29.0405 17.4885 19.4951 19.7813 35.8301 37.6409 33.9684 35.4075 18.9438 36.6777 30.3784 28.605 29.9038 18.6354 40.4572 35.632 38.8928 39.8 35.1 0 1 1 1 1 1
1576284466 18.644 29.0772 17.4735 19.4801 19.7737 35.8773 37.6553 33.9755 35.3454 18.9436 36.6885 30.4001 28.5607 29.8485 18.6835 40.4824 35.7667 38.7506 39.8 35.1 0 1 1 1 1 1
1576284469 18.644 29.1066 17.4772 19.4652 19.7662 35.8773 37.8265 33.9828 35.1851 18.9433 36.8083 30.4252 28.564 29.8959 18.6088 40.6017 35.8465 38.7065 40.1 35.2 0 1 1 0 1 1
1576284472 18.6438 29.0549 17.4769 19.4724 19.7883 35.8115 37.8809 34.0117 35.2286 18.9427 36.8661 30.3992 28.5598 29.8439 18.6826 40.6012 35.8241 38.8408 39.9 35 0 1 1 0 1 1
1576284475 18.6438 29.0953 17.4844 19.4873 19.7994 35.9062 37.9319 34.0336 35.2395 18.9425 36.9423 30.45 28.4897 29.7995 18.4074 40.6046 35.6781 38.9316 39.9 35.4 0 1 1 0 1 1
1576284478 18.6438 29.0696 17.4918 19.4947 19.792 35.9718 38.0048 34.0591 35.4035 18.9421 36.7015 30.4751 28.5151 29.8065 18.4367 40.6441 35.7397 38.6689 40.1 35.1 0 1 1 0 1 1
1576284481 18.6436 29.0474 17.488 19.4649 19.7919 36.0701 38.0448 34.0845 35.8624 18.9417 36.7959 30.482 28.5662 29.8319 18.2803 40.5639 35.4697 38.8143 39.9 35 0 1 1 1 1 1
1576284484 18.6435 29.0509 17.4878 19.4796 19.7918 36.0772 38.0701 34.0953 35.5235 18.9415 36.8794 30.438 28.6837 29.8022 18.3358 40.5746 35.7682 38.9342 39.8 35 0 1 1 1 1 1
1576284487 18.6434 29.0582 17.4914 19.4906 19.7953 36.0589 38.0044 34.1243 35.3375 18.9411 36.9628 30.4195 28.5582 29.8386 18.3205 40.6395 35.95 39.025 39.7 35.1 0 1 1 1 1 1
1576284490 18.6433 29.0543 17.4913 19.4979 19.7915 35.9786 37.9424 34.1387 35.239 18.9407 36.8932 30.4555 28.5063 29.8235 18.0934 40.7261 36.0626 38.6857 39.8 35.4 0 1 1 0 1 1
1576284493 18.6433 29.0102 17.4876 19.4831 19.7952 35.9495 37.9606 34.1715 35.4795 18.9403 36.9293 30.4916 28.5133 29.8489 18.2826 40.8454 35.891 38.7838 39.8 35.6 0 1 1 0 1 1
1576284496 18.6433 29.161 17.4913 19.4979 19.7989 35.975 38.0699 34.1897 35.3155 18.9399 36.9362 30.5349 28.6637 29.8668 18.3751 40.9175 35.7011 38.8489 39.8 35.7 0 1 1 0 1 1
1576284499 18.6431 29.0947 17.4912 19.4978 19.8025 36.0842 38.1463 34.2188 35.6142 18.9395 37.0743 30.4471 28.6413 29.8849 18.3375 40.7975 35.872 38.936 39.9 35.7 0 1 1 0 1 1
1576284502 18.643 29.0835 17.4873 19.5125 19.7987 36.0767 38.1061 34.2441 35.7634 18.9391 36.9828 30.3811 28.7255 29.8698 18.378 40.8986 36.1485 39.1506 39.7 35.6 0 1 1 1 1 1
1576284505 18.6429 29.1018 17.4723 19.5013 19.7985 36.1349 38.0841 34.2477 35.4208 18.9387 37.0771 30.4645 28.8686 29.8694 18.4148 40.8874 35.9951 39.0883 39.9 35.6 0 1 1 1 1 1
1576284508 18.6429 29.1276 17.4723 19.5161 19.7985 35.9819 38.1278 34.2914 35.3115 18.9382 37.0876 30.4567 28.8313 29.8689 18.3177 40.8579 36.0347 38.9457 40 35.6 0 1 1 0 1 1
1576284511 18.6427 29.1421 17.4722 19.5048 19.8058 36.04 37.9382 34.3095 35.2604 18.9378 37.1528 30.5183 28.8677 29.8979 18.5514 40.8466 35.7975 39.2113 40.1 35.6 0 1 1 0 1 1
1576284514 18.6427 29.1127 17.4833 19.5086 19.817 36.1165 38.0184 34.324 35.0928 18.9376 37.1817 30.4707 28.7461 29.8719 18.2687 41.0095 35.3564 39.109 39.8 35.5 0 1 1 0 1 1
1576284517 18.6425 29.0646 17.4719 19.5046 19.8093 36.0617 37.774 34.3457 35.6026 18.9371 37.254 30.5212 28.6241 29.9008 18.0229 41.0452 35.6765 39.2688 39.9 35.5 0 1 1 0 1 1
1576284520 18.6425 29.1235 17.4757 19.5232 19.8205 36.1127 37.8906 34.3566 36.0835 18.9367 37.1152 30.4151 28.7378 29.9225 18.186 41.0412 36.106 39.3376 40.2 35.6 0 1 1 1 1 1
1576284523 18.6423 29.1822 17.4941 19.5156 19.8129 36.0615 38.0398 34.3747 36.1781 18.9364 37.1222 30.5752 28.87 29.9076 18.301 40.983 36.2223 39.1771 40.3 35.5 0 1 1 1 1 1
1576284526 18.6422 29.138 17.4828 19.5266 19.8165 36.116 37.9304 34.4037 35.9922 18.9359 37.2747 30.571 28.8253 29.8887 18.2262 41.1021 36.2983 39.213 40.2 35.8 0 1 1 1 1 1
1576284529 18.6422 29.2042 17.4828 19.5192 19.8239 36.0905 37.9996 34.4183 35.7918 18.9355 37.1323 30.4213 28.6631 29.8809 18.4933 41.0909 36.2433 39.3547 40 35.6 0 1 1 0 1 1
1576284532 18.6421 29.1967 17.4678 19.5265 19.8089 36.1014 38.076 34.4327 35.6605 18.9351 37.1356 30.4647 28.7584 29.8842 18.2626 41.1014 36.2211 39.4527 39.8 35.3 0 1 1 0 1 1
1576284535 18.642 29.1193 17.4714 19.5264 19.7939 36.1705 38.1706 34.4873 35.6094 18.9348 37.0331 30.5517 28.9088 29.8875 18.2101 41.1227 35.9037 39.4596 39.9 35.1 0 1 1 0 1 1
1576284538 18.6418 29.2075 17.4713 19.5188 19.805 36.1339 38.247 34.5017 35.5218 18.9344 37.0437 30.5877 28.6067 29.9386 18.1726 41.1912 35.7794 39.5175 39.7 35.3 0 1 1 0 1 1
1576284541 18.6418 29.1633 17.4676 19.5114 19.7975 36.1303 38.2652 34.5053 35.8971 18.934 37.2947 30.6056 28.7094 29.9051 18.1573 41.1256 35.9977 39.5171 40 35 0 1 1 1 1 1
1576284544 18.6417 29.2736 17.4674 19.5187 19.8048 36.1884 38.2141 34.5343 35.7111 18.9336 37.1085 30.6161 28.7274 29.8827 18.0232 41.1289 36.0447 39.2945 40.1 35.4 0 1 1 1 1 1
1576284547 18.6416 29.1631 17.4822 19.5148 19.8121 36.2029 38.2577 34.5597 35.6709 18.9332 37.0936 30.6923 28.83 29.8786 18.3126 41.1829 36.1281 39.3452 40.1 35.7 0 1 1 1 1 1
1576284550 18.6416 29.1005 17.4747 19.5074 19.8195 36.2138 38.3233 34.5889 35.8276 18.9328 37.1989 30.5571 28.7046 29.915 18.3346 41.2333 35.9091 39.4504 40.1 35.8 0 1 1 0 1 1
1576284553 18.6413 29.1739 17.4708 19.5146 19.8156 36.1698 38.4432 34.6068 36.1334 18.9323 37.2056 30.6768 28.9873 29.9219 18.4121 41.2255 35.9778 39.4645 39.8 35.9 0 1 1 0 1 1
1576284556 18.6412 29.137 17.493 19.5033 19.808 36.279 38.516 34.6249 36.2571 18.9321 37.1835 30.6474 28.8841 29.9511 18.4081 41.2289 36.2399 39.344 39.8 35.6 0 1 1 0 1 1
1576284559 18.6411 29.203 17.4779 19.5032 19.8042 36.2497 38.4721 34.643 35.9619 18.9317 37.2014 30.6106 28.8211 29.947 18.3966 41.2104 36.265 39.2708 40 36.1 0 1 1 0 1 1
1576284575 18.6404 29.3275 17.4885 19.4654 19.7738 36.2163 38.3914 34.7626 35.9795 18.9296 37.3451 30.8345 28.8743 29.9486 18.1715 41.2265 36.008 39.2942 39.9 35.6 0 1 1 0 1 1
1576284578 18.6402 29.2831 17.4882 19.4651 19.7698 36.227 38.3037 34.7769 35.5603 18.9292 37.2682 30.7211 28.7966 29.9556 18.4759 41.2297 35.7708 39.3522 40 35.2 0 1 1 0 1 1
1576284581 18.6402 29.2463 17.4771 19.4614 19.7624 36.2488 38.1579 34.7842 35.3817 18.9288 37.4463 30.8046 28.8772 29.9479 18.2897 41.3128 35.7048 39.2097 40.2 35.6 0 1 1 1 1 1
1576284584 18.6399 29.3932 17.4879 19.4574 19.7696 36.2158 38.3763 34.8022 35.4981 18.9284 37.486 30.7422 28.9798 29.9328 18.3599 41.3486 35.617 39.2494 39.9 35.6 0 1 1 1 1 1
1576284587 18.6398 29.371 17.4915 19.4833 19.7843 36.2485 38.2304 34.8239 35.4287 18.9281 37.4857 30.6326 28.8029 29.903 18.3149 41.4063 35.5948 39.1689 39.8 35.6 0 1 1 1 1 1
1576284590 18.6398 29.3968 17.4952 19.4796 19.7769 36.2047 38.092 34.8312 35.4834 18.9278 37.3907 30.7635 28.8872 29.9137 18.4447 41.3915 35.7767 39.205 40 35.4 0 1 1 0 1 1
1576284593 18.6398 29.4593 17.499 19.487 19.7732 36.2084 38.0883 34.8312 35.5161 18.9274 37.3867 30.723 28.8942 29.9171 18.2734 41.3186 35.5614 39.0626 39.9 35.4 0 1 1 0 1 1
1576284596 18.6395 29.3965 17.495 19.4979 19.7803 36.2409 38.0516 34.8528 35.6434 18.9269 37.4444 30.8136 28.9121 29.9276 18.5776 41.2927 35.8122 39.1859 39.8 35.7 0 1 1 0 1 1
1576284599 18.6395 29.3487 17.495 19.4868 19.7692 36.2482 38.1282 34.8601 35.5268 18.9266 37.3822 30.7587 29.1988 29.9273 18.6405 41.3142 36.0634 39.0873 39.9 35.7 0 1 1 0 1 1
1576284602 18.6394 29.3817 17.5023 19.4606 19.7728 36.2299 38.1608 34.8563 35.2717 18.9263 37.2762 30.7765 29.0697 29.949 18.7367 41.3066 36.1359 39.1489 40 35.4 0 1 1 1 1 1
1576284605 18.6391 29.363 17.4872 19.4604 19.7762 36.2296 38.1096 34.8743 35.388 18.9257 37.1846 30.6522 29.1133 29.9559 18.6099 41.2952 36.0661 38.9917 39.9 35.5 0 1 1 1 1 1
1576284608 18.639 29.293 17.4982 19.4751 19.7761 36.2404 38.2151 34.8924 35.8506 18.9254 37.1952 30.5498 29.1019 29.915 18.6132 41.2985 35.8216 38.8383 40 35.4 0 1 1 0 1 1
1576284611 18.6389 29.2965 17.4944 19.501 19.7797 36.2111 38.368 34.9105 35.5699 18.9251 37.1804 30.5422 29.0354 29.9037 18.8731 41.3236 35.7631 38.9947 40 35.3 0 1 1 0 1 1
1576284614 18.6387 29.2743 17.4905 19.512 19.7758 36.211 38.4334 34.9395 35.4641 18.9246 37.2928 30.5308 29.1122 29.9216 18.7425 41.276 35.7371 39.0015 39.9 35.6 0 1 1 0 1 1
1576284617 18.6386 29.3073 17.4904 19.5119 19.7869 36.2145 38.2949 34.9539 35.3693 18.9242 37.3434 30.4867 29.0455 29.9506 18.6083 41.2901 35.7841 38.9137 39.9 35.3 0 1 1 0 1 1
1576284620 18.6384 29.3402 17.4901 19.5302 19.7866 36.1815 38.3565 34.9683 35.3763 18.9237 37.3283 30.5663 29.0487 29.9832 18.5112 41.2533 36.2353 38.8913 39.9 35.6 0 1 1 1 1 1
1576284623 18.6382 29.3658 17.4974 19.5226 19.7939 36.134 38.3856 35.0046 35.2341 18.9232 37.4626 30.5403 28.9746 30.0412 18.4475 41.2238 36.0126 39.0657 40 35.6 0 1 1 1 1 1
1576284626 18.6381 29.3252 17.4824 19.5002 19.7863 36.1193 38.196 34.9935 35.234 18.9228 37.444 30.5655 28.9926 30.008 18.5512 41.2017 35.6442 39.0872 40 35.3 0 1 1 1 1 1
1576284629 18.638 29.3471 17.4786 19.5075 19.7862 36.1374 38.1339 35.0225 35.4451 18.9224 37.4473 30.4886 29.0364 29.9709 18.3316 41.1578 35.6767 39.1633 40.1 35.5 0 1 1 0 1 1
1576284632 18.6377 29.4646 17.4746 19.4998 19.7823 36.2173 38.09 35.0259 35.5324 18.9219 37.5269 30.6229 28.9292 29.9667 18.4834 41.1682 35.7526 39.5016 40.1 35.8 0 1 1 0 1 1
1576284635 18.6376 29.4755 17.4893 19.4848 19.7784 36.239 38.108 35.0404 35.7034 18.9216 37.6396 30.6299 28.962 29.9555 18.2639 41.2078 35.6868 39.4467 40.1 35.4 0 1 1 0 1 1
... 50720 more lines ...
|
Attachment 2: Bakeout1.png
|
|
5
|
Wed Sep 2 17:01:49 2020 |
JM | Bakeout started and valve installation |
Initial bakeout started which will run until friday, temperature had reached 44 degrees when I left the lab.
The bottom valve has now been installed on the main chamber |
Attachment 1: Photos.zip
|
4
|
Wed Aug 19 16:44:39 2020 |
JM | Neg Installs |
Internal neg installs completed and electrically checked. |
Attachment 1: Photos_(1).zip
|
3
|
Tue Aug 18 17:35:20 2020 |
TD | SAES UHV1400 pin assignment |
Using a digital multimeter confirmed continuity for SAES-supplied
power cable (Amphenol (circular) 6-pin plug to Han 05 plug) for
the following pins
pin A - pin 5
pin F - pin 3
which correspond to the type K chromel/alumel thermocouple contacts
and Neg PSU output connector pins for the thermocouple (e.g. see p.34
of Neg PSU manual). |
Attachment 1: NEG_POWER_user_manual.pdf
|
|
Attachment 2: 20200813_121944_(1).jpg
|
|
Attachment 3: 20200813_134548.jpg
|
|
Attachment 4: RFKIT9904220_(2).pdf
|
|
Attachment 5: RFKIT9905220_(2).pdf
|
|
2
|
Tue Aug 18 11:26:26 2020 |
JM | Week ending Friday 14/08/20 |
Week ending friday 14/08/20
CARME chamber securely mounted upon aluminium frame and support skis. Neg-ion pumps and right angled flanges installed. Neg pumps installed in the wall detector section of the chamber. Neg pumps continuity checked and are electically isolated from the chamber. |
Attachment 1: Photo.zip
|
1
|
Mon Aug 17 08:16:16 2020 |
TD | CARME Elog |
Test |